Explorar el Código

STM32 RODATA LENGTH (#16580)

Pascal de Bruijn hace 4 años
padre
commit
f10d3564e1

+ 1
- 1
buildroot/share/PlatformIO/ldscripts/STM32F103RC_SKR_MINI_256K.ld Ver fichero

1
 MEMORY
1
 MEMORY
2
 {
2
 {
3
   ram (rwx) : ORIGIN = 0x20000000, LENGTH = 48K - 40
3
   ram (rwx) : ORIGIN = 0x20000000, LENGTH = 48K - 40
4
-  rom (rx)  : ORIGIN = 0x08007000, LENGTH = 256K - 28K
4
+  rom (rx)  : ORIGIN = 0x08007000, LENGTH = 256K - 28K - 4K
5
 }
5
 }
6
 
6
 
7
 /* Provide memory region aliases for common.inc */
7
 /* Provide memory region aliases for common.inc */

+ 1
- 1
buildroot/share/PlatformIO/ldscripts/STM32F103RC_SKR_MINI_512K.ld Ver fichero

1
 MEMORY
1
 MEMORY
2
 {
2
 {
3
   ram (rwx) : ORIGIN = 0x20000000, LENGTH = 48K - 40
3
   ram (rwx) : ORIGIN = 0x20000000, LENGTH = 48K - 40
4
-  rom (rx)  : ORIGIN = 0x08007000, LENGTH = 512K - 28K
4
+  rom (rx)  : ORIGIN = 0x08007000, LENGTH = 512K - 28K - 4K
5
 }
5
 }
6
 
6
 
7
 /* Provide memory region aliases for common.inc */
7
 /* Provide memory region aliases for common.inc */

+ 1
- 1
buildroot/share/PlatformIO/ldscripts/STM32F103RE_SKR_E3_DIP.ld Ver fichero

1
 MEMORY
1
 MEMORY
2
 {
2
 {
3
   ram (rwx) : ORIGIN = 0x20000000, LENGTH = 48K - 40
3
   ram (rwx) : ORIGIN = 0x20000000, LENGTH = 48K - 40
4
-  rom (rx)  : ORIGIN = 0x08007000, LENGTH = 512K - 28K
4
+  rom (rx)  : ORIGIN = 0x08007000, LENGTH = 512K - 28K - 4K
5
 }
5
 }
6
 
6
 
7
 /* Provide memory region aliases for common.inc */
7
 /* Provide memory region aliases for common.inc */

+ 1
- 1
buildroot/share/PlatformIO/ldscripts/fysetc_aio_ii.ld Ver fichero

5
 MEMORY
5
 MEMORY
6
 {
6
 {
7
 	ram (rwx) : ORIGIN = 0x20000000, LENGTH = 48K
7
 	ram (rwx) : ORIGIN = 0x20000000, LENGTH = 48K
8
-	rom (rx)  : ORIGIN = 0x08010000, LENGTH = 256K-40K
8
+	rom (rx)  : ORIGIN = 0x08010000, LENGTH = 256K - 40K - 4K
9
 }
9
 }
10
 
10
 
11
 /* Provide memory region aliases for common.inc */
11
 /* Provide memory region aliases for common.inc */

+ 1
- 1
buildroot/share/PlatformIO/ldscripts/mks_robin_mini.ld Ver fichero

1
 MEMORY
1
 MEMORY
2
 {
2
 {
3
   ram (rwx) : ORIGIN = 0x20000000, LENGTH = 64K - 40
3
   ram (rwx) : ORIGIN = 0x20000000, LENGTH = 64K - 40
4
-  rom (rx)  : ORIGIN = 0x08007000, LENGTH = 512K - 28K
4
+  rom (rx)  : ORIGIN = 0x08007000, LENGTH = 512K - 28K - 4K
5
 }
5
 }
6
 
6
 
7
 /* Provide memory region aliases for common.inc */
7
 /* Provide memory region aliases for common.inc */

Loading…
Cancelar
Guardar