My Marlin configs for Fabrikator Mini and CTC i3 Pro B
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

stepper.cpp 40KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401
  1. /**
  2. * Marlin 3D Printer Firmware
  3. * Copyright (C) 2016 MarlinFirmware [https://github.com/MarlinFirmware/Marlin]
  4. *
  5. * Based on Sprinter and grbl.
  6. * Copyright (C) 2011 Camiel Gubbels / Erik van der Zalm
  7. *
  8. * This program is free software: you can redistribute it and/or modify
  9. * it under the terms of the GNU General Public License as published by
  10. * the Free Software Foundation, either version 3 of the License, or
  11. * (at your option) any later version.
  12. *
  13. * This program is distributed in the hope that it will be useful,
  14. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  15. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  16. * GNU General Public License for more details.
  17. *
  18. * You should have received a copy of the GNU General Public License
  19. * along with this program. If not, see <http://www.gnu.org/licenses/>.
  20. *
  21. */
  22. /**
  23. * stepper.cpp - A singleton object to execute motion plans using stepper motors
  24. * Marlin Firmware
  25. *
  26. * Derived from Grbl
  27. * Copyright (c) 2009-2011 Simen Svale Skogsrud
  28. *
  29. * Grbl is free software: you can redistribute it and/or modify
  30. * it under the terms of the GNU General Public License as published by
  31. * the Free Software Foundation, either version 3 of the License, or
  32. * (at your option) any later version.
  33. *
  34. * Grbl is distributed in the hope that it will be useful,
  35. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  36. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  37. * GNU General Public License for more details.
  38. *
  39. * You should have received a copy of the GNU General Public License
  40. * along with Grbl. If not, see <http://www.gnu.org/licenses/>.
  41. */
  42. /* The timer calculations of this module informed by the 'RepRap cartesian firmware' by Zack Smith
  43. and Philipp Tiefenbacher. */
  44. #include "Marlin.h"
  45. #include "stepper.h"
  46. #include "endstops.h"
  47. #include "planner.h"
  48. #include "temperature.h"
  49. #include "ultralcd.h"
  50. #include "language.h"
  51. #include "cardreader.h"
  52. #include "speed_lookuptable.h"
  53. #if HAS_DIGIPOTSS
  54. #include <SPI.h>
  55. #endif
  56. Stepper stepper; // Singleton
  57. // public:
  58. block_t* Stepper::current_block = NULL; // A pointer to the block currently being traced
  59. #if ENABLED(ABORT_ON_ENDSTOP_HIT_FEATURE_ENABLED)
  60. bool Stepper::abort_on_endstop_hit = false;
  61. #endif
  62. #if ENABLED(Z_DUAL_ENDSTOPS)
  63. bool Stepper::performing_homing = false;
  64. #endif
  65. // private:
  66. unsigned char Stepper::last_direction_bits = 0; // The next stepping-bits to be output
  67. unsigned int Stepper::cleaning_buffer_counter = 0;
  68. #if ENABLED(Z_DUAL_ENDSTOPS)
  69. bool Stepper::locked_z_motor = false;
  70. bool Stepper::locked_z2_motor = false;
  71. #endif
  72. long Stepper::counter_X = 0,
  73. Stepper::counter_Y = 0,
  74. Stepper::counter_Z = 0,
  75. Stepper::counter_E = 0;
  76. volatile uint32_t Stepper::step_events_completed = 0; // The number of step events executed in the current block
  77. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  78. uint8_t Stepper::old_OCR0A = 0;
  79. volatile uint8_t Stepper::eISR_Rate = 200; // Keep the ISR at a low rate until needed
  80. #if ENABLED(LIN_ADVANCE)
  81. volatile int Stepper::e_steps[E_STEPPERS];
  82. int Stepper::final_estep_rate,
  83. Stepper::current_estep_rate[E_STEPPERS],
  84. Stepper::current_adv_steps[E_STEPPERS];
  85. #else
  86. long Stepper::e_steps[E_STEPPERS],
  87. Stepper::final_advance = 0,
  88. Stepper::old_advance = 0,
  89. Stepper::advance_rate,
  90. Stepper::advance;
  91. #endif
  92. #endif
  93. long Stepper::acceleration_time, Stepper::deceleration_time;
  94. volatile long Stepper::count_position[NUM_AXIS] = { 0 };
  95. volatile signed char Stepper::count_direction[NUM_AXIS] = { 1, 1, 1, 1 };
  96. #if ENABLED(MIXING_EXTRUDER)
  97. long Stepper::counter_m[MIXING_STEPPERS];
  98. #endif
  99. unsigned short Stepper::acc_step_rate; // needed for deceleration start point
  100. uint8_t Stepper::step_loops, Stepper::step_loops_nominal;
  101. unsigned short Stepper::OCR1A_nominal;
  102. volatile long Stepper::endstops_trigsteps[XYZ];
  103. #if ENABLED(X_DUAL_STEPPER_DRIVERS)
  104. #define X_APPLY_DIR(v,Q) do{ X_DIR_WRITE(v); X2_DIR_WRITE((v) != INVERT_X2_VS_X_DIR); }while(0)
  105. #define X_APPLY_STEP(v,Q) do{ X_STEP_WRITE(v); X2_STEP_WRITE(v); }while(0)
  106. #elif ENABLED(DUAL_X_CARRIAGE)
  107. #define X_APPLY_DIR(v,ALWAYS) \
  108. if (extruder_duplication_enabled || ALWAYS) { \
  109. X_DIR_WRITE(v); \
  110. X2_DIR_WRITE(v); \
  111. } \
  112. else { \
  113. if (current_block->active_extruder) X2_DIR_WRITE(v); else X_DIR_WRITE(v); \
  114. }
  115. #define X_APPLY_STEP(v,ALWAYS) \
  116. if (extruder_duplication_enabled || ALWAYS) { \
  117. X_STEP_WRITE(v); \
  118. X2_STEP_WRITE(v); \
  119. } \
  120. else { \
  121. if (current_block->active_extruder != 0) X2_STEP_WRITE(v); else X_STEP_WRITE(v); \
  122. }
  123. #else
  124. #define X_APPLY_DIR(v,Q) X_DIR_WRITE(v)
  125. #define X_APPLY_STEP(v,Q) X_STEP_WRITE(v)
  126. #endif
  127. #if ENABLED(Y_DUAL_STEPPER_DRIVERS)
  128. #define Y_APPLY_DIR(v,Q) do{ Y_DIR_WRITE(v); Y2_DIR_WRITE((v) != INVERT_Y2_VS_Y_DIR); }while(0)
  129. #define Y_APPLY_STEP(v,Q) do{ Y_STEP_WRITE(v); Y2_STEP_WRITE(v); }while(0)
  130. #else
  131. #define Y_APPLY_DIR(v,Q) Y_DIR_WRITE(v)
  132. #define Y_APPLY_STEP(v,Q) Y_STEP_WRITE(v)
  133. #endif
  134. #if ENABLED(Z_DUAL_STEPPER_DRIVERS)
  135. #define Z_APPLY_DIR(v,Q) do{ Z_DIR_WRITE(v); Z2_DIR_WRITE(v); }while(0)
  136. #if ENABLED(Z_DUAL_ENDSTOPS)
  137. #define Z_APPLY_STEP(v,Q) \
  138. if (performing_homing) { \
  139. if (Z_HOME_DIR < 0) { \
  140. if (!(TEST(endstops.old_endstop_bits, Z_MIN) && (count_direction[Z_AXIS] < 0)) && !locked_z_motor) Z_STEP_WRITE(v); \
  141. if (!(TEST(endstops.old_endstop_bits, Z2_MIN) && (count_direction[Z_AXIS] < 0)) && !locked_z2_motor) Z2_STEP_WRITE(v); \
  142. } \
  143. else { \
  144. if (!(TEST(endstops.old_endstop_bits, Z_MAX) && (count_direction[Z_AXIS] > 0)) && !locked_z_motor) Z_STEP_WRITE(v); \
  145. if (!(TEST(endstops.old_endstop_bits, Z2_MAX) && (count_direction[Z_AXIS] > 0)) && !locked_z2_motor) Z2_STEP_WRITE(v); \
  146. } \
  147. } \
  148. else { \
  149. Z_STEP_WRITE(v); \
  150. Z2_STEP_WRITE(v); \
  151. }
  152. #else
  153. #define Z_APPLY_STEP(v,Q) do{ Z_STEP_WRITE(v); Z2_STEP_WRITE(v); }while(0)
  154. #endif
  155. #else
  156. #define Z_APPLY_DIR(v,Q) Z_DIR_WRITE(v)
  157. #define Z_APPLY_STEP(v,Q) Z_STEP_WRITE(v)
  158. #endif
  159. #if DISABLED(MIXING_EXTRUDER)
  160. #define E_APPLY_STEP(v,Q) E_STEP_WRITE(v)
  161. #endif
  162. // intRes = longIn1 * longIn2 >> 24
  163. // uses:
  164. // r26 to store 0
  165. // r27 to store bits 16-23 of the 48bit result. The top bit is used to round the two byte result.
  166. // note that the lower two bytes and the upper byte of the 48bit result are not calculated.
  167. // this can cause the result to be out by one as the lower bytes may cause carries into the upper ones.
  168. // B0 A0 are bits 24-39 and are the returned value
  169. // C1 B1 A1 is longIn1
  170. // D2 C2 B2 A2 is longIn2
  171. //
  172. #define MultiU24X32toH16(intRes, longIn1, longIn2) \
  173. asm volatile ( \
  174. "clr r26 \n\t" \
  175. "mul %A1, %B2 \n\t" \
  176. "mov r27, r1 \n\t" \
  177. "mul %B1, %C2 \n\t" \
  178. "movw %A0, r0 \n\t" \
  179. "mul %C1, %C2 \n\t" \
  180. "add %B0, r0 \n\t" \
  181. "mul %C1, %B2 \n\t" \
  182. "add %A0, r0 \n\t" \
  183. "adc %B0, r1 \n\t" \
  184. "mul %A1, %C2 \n\t" \
  185. "add r27, r0 \n\t" \
  186. "adc %A0, r1 \n\t" \
  187. "adc %B0, r26 \n\t" \
  188. "mul %B1, %B2 \n\t" \
  189. "add r27, r0 \n\t" \
  190. "adc %A0, r1 \n\t" \
  191. "adc %B0, r26 \n\t" \
  192. "mul %C1, %A2 \n\t" \
  193. "add r27, r0 \n\t" \
  194. "adc %A0, r1 \n\t" \
  195. "adc %B0, r26 \n\t" \
  196. "mul %B1, %A2 \n\t" \
  197. "add r27, r1 \n\t" \
  198. "adc %A0, r26 \n\t" \
  199. "adc %B0, r26 \n\t" \
  200. "lsr r27 \n\t" \
  201. "adc %A0, r26 \n\t" \
  202. "adc %B0, r26 \n\t" \
  203. "mul %D2, %A1 \n\t" \
  204. "add %A0, r0 \n\t" \
  205. "adc %B0, r1 \n\t" \
  206. "mul %D2, %B1 \n\t" \
  207. "add %B0, r0 \n\t" \
  208. "clr r1 \n\t" \
  209. : \
  210. "=&r" (intRes) \
  211. : \
  212. "d" (longIn1), \
  213. "d" (longIn2) \
  214. : \
  215. "r26" , "r27" \
  216. )
  217. // Some useful constants
  218. #define ENABLE_STEPPER_DRIVER_INTERRUPT() SBI(TIMSK1, OCIE1A)
  219. #define DISABLE_STEPPER_DRIVER_INTERRUPT() CBI(TIMSK1, OCIE1A)
  220. /**
  221. * __________________________
  222. * /| |\ _________________ ^
  223. * / | | \ /| |\ |
  224. * / | | \ / | | \ s
  225. * / | | | | | \ p
  226. * / | | | | | \ e
  227. * +-----+------------------------+---+--+---------------+----+ e
  228. * | BLOCK 1 | BLOCK 2 | d
  229. *
  230. * time ----->
  231. *
  232. * The trapezoid is the shape the speed curve over time. It starts at block->initial_rate, accelerates
  233. * first block->accelerate_until step_events_completed, then keeps going at constant speed until
  234. * step_events_completed reaches block->decelerate_after after which it decelerates until the trapezoid generator is reset.
  235. * The slope of acceleration is calculated using v = u + at where t is the accumulated timer values of the steps so far.
  236. */
  237. void Stepper::wake_up() {
  238. // TCNT1 = 0;
  239. ENABLE_STEPPER_DRIVER_INTERRUPT();
  240. }
  241. /**
  242. * Set the stepper direction of each axis
  243. *
  244. * COREXY: X_AXIS=A_AXIS and Y_AXIS=B_AXIS
  245. * COREXZ: X_AXIS=A_AXIS and Z_AXIS=C_AXIS
  246. * COREYZ: Y_AXIS=B_AXIS and Z_AXIS=C_AXIS
  247. */
  248. void Stepper::set_directions() {
  249. #define SET_STEP_DIR(AXIS) \
  250. if (motor_direction(AXIS ##_AXIS)) { \
  251. AXIS ##_APPLY_DIR(INVERT_## AXIS ##_DIR, false); \
  252. count_direction[AXIS ##_AXIS] = -1; \
  253. } \
  254. else { \
  255. AXIS ##_APPLY_DIR(!INVERT_## AXIS ##_DIR, false); \
  256. count_direction[AXIS ##_AXIS] = 1; \
  257. }
  258. #if HAS_X_DIR
  259. SET_STEP_DIR(X); // A
  260. #endif
  261. #if HAS_Y_DIR
  262. SET_STEP_DIR(Y); // B
  263. #endif
  264. #if HAS_Z_DIR
  265. SET_STEP_DIR(Z); // C
  266. #endif
  267. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  268. if (motor_direction(E_AXIS)) {
  269. REV_E_DIR();
  270. count_direction[E_AXIS] = -1;
  271. }
  272. else {
  273. NORM_E_DIR();
  274. count_direction[E_AXIS] = 1;
  275. }
  276. #endif // !ADVANCE && !LIN_ADVANCE
  277. }
  278. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  279. extern volatile uint8_t e_hit;
  280. #endif
  281. /**
  282. * Stepper Driver Interrupt
  283. *
  284. * Directly pulses the stepper motors at high frequency.
  285. * Timer 1 runs at a base frequency of 2MHz, with this ISR using OCR1A compare mode.
  286. *
  287. * OCR1A Frequency
  288. * 1 2 MHz
  289. * 50 40 KHz
  290. * 100 20 KHz - capped max rate
  291. * 200 10 KHz - nominal max rate
  292. * 2000 1 KHz - sleep rate
  293. * 4000 500 Hz - init rate
  294. */
  295. ISR(TIMER1_COMPA_vect) { Stepper::isr(); }
  296. void Stepper::isr() {
  297. //Disable Timer0 ISRs and enable global ISR again to capture UART events (incoming chars)
  298. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  299. CBI(TIMSK0, OCIE0A); //estepper ISR
  300. #endif
  301. CBI(TIMSK0, OCIE0B); //Temperature ISR
  302. DISABLE_STEPPER_DRIVER_INTERRUPT();
  303. sei();
  304. if (cleaning_buffer_counter) {
  305. --cleaning_buffer_counter;
  306. current_block = NULL;
  307. planner.discard_current_block();
  308. #ifdef SD_FINISHED_RELEASECOMMAND
  309. if (!cleaning_buffer_counter && (SD_FINISHED_STEPPERRELEASE)) enqueue_and_echo_commands_P(PSTR(SD_FINISHED_RELEASECOMMAND));
  310. #endif
  311. OCR1A = 200; // Run at max speed - 10 KHz
  312. //re-enable ISRs
  313. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  314. SBI(TIMSK0, OCIE0A);
  315. #endif
  316. SBI(TIMSK0, OCIE0B);
  317. ENABLE_STEPPER_DRIVER_INTERRUPT();
  318. return;
  319. }
  320. // If there is no current block, attempt to pop one from the buffer
  321. if (!current_block) {
  322. // Anything in the buffer?
  323. current_block = planner.get_current_block();
  324. if (current_block) {
  325. trapezoid_generator_reset();
  326. // Initialize Bresenham counters to 1/2 the ceiling
  327. counter_X = counter_Y = counter_Z = counter_E = -(current_block->step_event_count >> 1);
  328. #if ENABLED(MIXING_EXTRUDER)
  329. MIXING_STEPPERS_LOOP(i)
  330. counter_m[i] = -(current_block->mix_event_count[i] >> 1);
  331. #endif
  332. step_events_completed = 0;
  333. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  334. e_hit = 2; // Needed for the case an endstop is already triggered before the new move begins.
  335. // No 'change' can be detected.
  336. #endif
  337. #if ENABLED(Z_LATE_ENABLE)
  338. if (current_block->steps[Z_AXIS] > 0) {
  339. enable_z();
  340. OCR1A = 2000; // Run at slow speed - 1 KHz
  341. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  342. SBI(TIMSK0, OCIE0A);
  343. #endif
  344. SBI(TIMSK0, OCIE0B);
  345. ENABLE_STEPPER_DRIVER_INTERRUPT();
  346. return;
  347. }
  348. #endif
  349. // #if ENABLED(ADVANCE)
  350. // e_steps[TOOL_E_INDEX] = 0;
  351. // #endif
  352. }
  353. else {
  354. OCR1A = 2000; // Run at slow speed - 1 KHz
  355. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  356. SBI(TIMSK0, OCIE0A);
  357. #endif
  358. SBI(TIMSK0, OCIE0B);
  359. ENABLE_STEPPER_DRIVER_INTERRUPT();
  360. return;
  361. }
  362. }
  363. // Update endstops state, if enabled
  364. if ((endstops.enabled
  365. #if HAS_BED_PROBE
  366. || endstops.z_probe_enabled
  367. #endif
  368. )
  369. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  370. && e_hit
  371. #endif
  372. ) {
  373. endstops.update();
  374. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  375. e_hit--;
  376. #endif
  377. }
  378. // Take multiple steps per interrupt (For high speed moves)
  379. bool all_steps_done = false;
  380. for (int8_t i = 0; i < step_loops; i++) {
  381. #if ENABLED(LIN_ADVANCE)
  382. counter_E += current_block->steps[E_AXIS];
  383. if (counter_E > 0) {
  384. counter_E -= current_block->step_event_count;
  385. #if DISABLED(MIXING_EXTRUDER)
  386. // Don't step E here for mixing extruder
  387. count_position[E_AXIS] += count_direction[E_AXIS];
  388. motor_direction(E_AXIS) ? --e_steps[TOOL_E_INDEX] : ++e_steps[TOOL_E_INDEX];
  389. #endif
  390. }
  391. #if ENABLED(MIXING_EXTRUDER)
  392. // Step mixing steppers proportionally
  393. const bool dir = motor_direction(E_AXIS);
  394. MIXING_STEPPERS_LOOP(j) {
  395. counter_m[j] += current_block->steps[E_AXIS];
  396. if (counter_m[j] > 0) {
  397. counter_m[j] -= current_block->mix_event_count[j];
  398. dir ? --e_steps[j] : ++e_steps[j];
  399. }
  400. }
  401. #endif
  402. #elif ENABLED(ADVANCE)
  403. // Always count the unified E axis
  404. counter_E += current_block->steps[E_AXIS];
  405. if (counter_E > 0) {
  406. counter_E -= current_block->step_event_count;
  407. #if DISABLED(MIXING_EXTRUDER)
  408. // Don't step E here for mixing extruder
  409. motor_direction(E_AXIS) ? --e_steps[TOOL_E_INDEX] : ++e_steps[TOOL_E_INDEX];
  410. #endif
  411. }
  412. #if ENABLED(MIXING_EXTRUDER)
  413. // Step mixing steppers proportionally
  414. const bool dir = motor_direction(E_AXIS);
  415. MIXING_STEPPERS_LOOP(j) {
  416. counter_m[j] += current_block->steps[E_AXIS];
  417. if (counter_m[j] > 0) {
  418. counter_m[j] -= current_block->mix_event_count[j];
  419. dir ? --e_steps[j] : ++e_steps[j];
  420. }
  421. }
  422. #endif // MIXING_EXTRUDER
  423. #endif // ADVANCE or LIN_ADVANCE
  424. #define _COUNTER(AXIS) counter_## AXIS
  425. #define _APPLY_STEP(AXIS) AXIS ##_APPLY_STEP
  426. #define _INVERT_STEP_PIN(AXIS) INVERT_## AXIS ##_STEP_PIN
  427. // Advance the Bresenham counter; start a pulse if the axis needs a step
  428. #define PULSE_START(AXIS) \
  429. _COUNTER(AXIS) += current_block->steps[_AXIS(AXIS)]; \
  430. if (_COUNTER(AXIS) > 0) { _APPLY_STEP(AXIS)(!_INVERT_STEP_PIN(AXIS),0); }
  431. // Stop an active pulse, reset the Bresenham counter, update the position
  432. #define PULSE_STOP(AXIS) \
  433. if (_COUNTER(AXIS) > 0) { \
  434. _COUNTER(AXIS) -= current_block->step_event_count; \
  435. count_position[_AXIS(AXIS)] += count_direction[_AXIS(AXIS)]; \
  436. _APPLY_STEP(AXIS)(_INVERT_STEP_PIN(AXIS),0); \
  437. }
  438. #define CYCLES_EATEN_BY_CODE 240
  439. // If a minimum pulse time was specified get the CPU clock
  440. #if STEP_PULSE_CYCLES > CYCLES_EATEN_BY_CODE
  441. static uint32_t pulse_start;
  442. pulse_start = TCNT0;
  443. #endif
  444. #if HAS_X_STEP
  445. PULSE_START(X);
  446. #endif
  447. #if HAS_Y_STEP
  448. PULSE_START(Y);
  449. #endif
  450. #if HAS_Z_STEP
  451. PULSE_START(Z);
  452. #endif
  453. // For non-advance use linear interpolation for E also
  454. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  455. #if ENABLED(MIXING_EXTRUDER)
  456. // Keep updating the single E axis
  457. counter_E += current_block->steps[E_AXIS];
  458. // Tick the counters used for this mix
  459. MIXING_STEPPERS_LOOP(j) {
  460. // Step mixing steppers (proportionally)
  461. counter_m[j] += current_block->steps[E_AXIS];
  462. // Step when the counter goes over zero
  463. if (counter_m[j] > 0) En_STEP_WRITE(j, !INVERT_E_STEP_PIN);
  464. }
  465. #else // !MIXING_EXTRUDER
  466. PULSE_START(E);
  467. #endif
  468. #endif // !ADVANCE && !LIN_ADVANCE
  469. // For a minimum pulse time wait before stopping pulses
  470. #if STEP_PULSE_CYCLES > CYCLES_EATEN_BY_CODE
  471. while ((uint32_t)(TCNT0 - pulse_start) < STEP_PULSE_CYCLES - CYCLES_EATEN_BY_CODE) { /* nada */ }
  472. #endif
  473. #if HAS_X_STEP
  474. PULSE_STOP(X);
  475. #endif
  476. #if HAS_Y_STEP
  477. PULSE_STOP(Y);
  478. #endif
  479. #if HAS_Z_STEP
  480. PULSE_STOP(Z);
  481. #endif
  482. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  483. #if ENABLED(MIXING_EXTRUDER)
  484. // Always step the single E axis
  485. if (counter_E > 0) {
  486. counter_E -= current_block->step_event_count;
  487. count_position[E_AXIS] += count_direction[E_AXIS];
  488. }
  489. MIXING_STEPPERS_LOOP(j) {
  490. if (counter_m[j] > 0) {
  491. counter_m[j] -= current_block->mix_event_count[j];
  492. En_STEP_WRITE(j, INVERT_E_STEP_PIN);
  493. }
  494. }
  495. #else // !MIXING_EXTRUDER
  496. PULSE_STOP(E);
  497. #endif
  498. #endif // !ADVANCE && !LIN_ADVANCE
  499. if (++step_events_completed >= current_block->step_event_count) {
  500. all_steps_done = true;
  501. break;
  502. }
  503. }
  504. #if ENABLED(LIN_ADVANCE)
  505. if (current_block->use_advance_lead) {
  506. int delta_adv_steps = current_estep_rate[TOOL_E_INDEX] - current_adv_steps[TOOL_E_INDEX];
  507. current_adv_steps[TOOL_E_INDEX] += delta_adv_steps;
  508. #if ENABLED(MIXING_EXTRUDER)
  509. // Mixing extruders apply advance lead proportionally
  510. MIXING_STEPPERS_LOOP(j)
  511. e_steps[j] += delta_adv_steps * current_block->step_event_count / current_block->mix_event_count[j];
  512. #else
  513. // For most extruders, advance the single E stepper
  514. e_steps[TOOL_E_INDEX] += delta_adv_steps;
  515. #endif
  516. }
  517. #endif
  518. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  519. // If we have esteps to execute, fire the next advance_isr "now"
  520. if (e_steps[TOOL_E_INDEX]) OCR0A = TCNT0 + 2;
  521. #endif
  522. // Calculate new timer value
  523. if (step_events_completed <= (uint32_t)current_block->accelerate_until) {
  524. MultiU24X32toH16(acc_step_rate, acceleration_time, current_block->acceleration_rate);
  525. acc_step_rate += current_block->initial_rate;
  526. // upper limit
  527. NOMORE(acc_step_rate, current_block->nominal_rate);
  528. // step_rate to timer interval
  529. uint16_t timer = calc_timer(acc_step_rate);
  530. OCR1A = timer;
  531. acceleration_time += timer;
  532. #if ENABLED(LIN_ADVANCE)
  533. if (current_block->use_advance_lead) {
  534. #if ENABLED(MIXING_EXTRUDER)
  535. MIXING_STEPPERS_LOOP(j)
  536. current_estep_rate[j] = ((uint32_t)acc_step_rate * current_block->abs_adv_steps_multiplier8 * current_block->step_event_count / current_block->mix_event_count[j]) >> 17;
  537. #else
  538. current_estep_rate[TOOL_E_INDEX] = ((uint32_t)acc_step_rate * current_block->abs_adv_steps_multiplier8) >> 17;
  539. #endif
  540. }
  541. #elif ENABLED(ADVANCE)
  542. advance += advance_rate * step_loops;
  543. //NOLESS(advance, current_block->advance);
  544. long advance_whole = advance >> 8,
  545. advance_factor = advance_whole - old_advance;
  546. // Do E steps + advance steps
  547. #if ENABLED(MIXING_EXTRUDER)
  548. // ...for mixing steppers proportionally
  549. MIXING_STEPPERS_LOOP(j)
  550. e_steps[j] += advance_factor * current_block->step_event_count / current_block->mix_event_count[j];
  551. #else
  552. // ...for the active extruder
  553. e_steps[TOOL_E_INDEX] += advance_factor;
  554. #endif
  555. old_advance = advance_whole;
  556. #endif // ADVANCE or LIN_ADVANCE
  557. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  558. eISR_Rate = (timer >> 3) * step_loops / abs(e_steps[TOOL_E_INDEX]); //>> 3 is divide by 8. Reason: Timer 1 runs at 16/8=2MHz, Timer 0 at 16/64=0.25MHz. ==> 2/0.25=8.
  559. #endif
  560. }
  561. else if (step_events_completed > (uint32_t)current_block->decelerate_after) {
  562. uint16_t step_rate;
  563. MultiU24X32toH16(step_rate, deceleration_time, current_block->acceleration_rate);
  564. if (step_rate < acc_step_rate) { // Still decelerating?
  565. step_rate = acc_step_rate - step_rate;
  566. NOLESS(step_rate, current_block->final_rate);
  567. }
  568. else
  569. step_rate = current_block->final_rate;
  570. // step_rate to timer interval
  571. uint16_t timer = calc_timer(step_rate);
  572. OCR1A = timer;
  573. deceleration_time += timer;
  574. #if ENABLED(LIN_ADVANCE)
  575. if (current_block->use_advance_lead) {
  576. #if ENABLED(MIXING_EXTRUDER)
  577. MIXING_STEPPERS_LOOP(j)
  578. current_estep_rate[j] = ((uint32_t)step_rate * current_block->abs_adv_steps_multiplier8 * current_block->step_event_count / current_block->mix_event_count[j]) >> 17;
  579. #else
  580. current_estep_rate[TOOL_E_INDEX] = ((uint32_t)step_rate * current_block->abs_adv_steps_multiplier8) >> 17;
  581. #endif
  582. }
  583. #elif ENABLED(ADVANCE)
  584. advance -= advance_rate * step_loops;
  585. NOLESS(advance, final_advance);
  586. // Do E steps + advance steps
  587. long advance_whole = advance >> 8,
  588. advance_factor = advance_whole - old_advance;
  589. #if ENABLED(MIXING_EXTRUDER)
  590. MIXING_STEPPERS_LOOP(j)
  591. e_steps[j] += advance_factor * current_block->step_event_count / current_block->mix_event_count[j];
  592. #else
  593. e_steps[TOOL_E_INDEX] += advance_factor;
  594. #endif
  595. old_advance = advance_whole;
  596. #endif // ADVANCE or LIN_ADVANCE
  597. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  598. eISR_Rate = (timer >> 3) * step_loops / abs(e_steps[TOOL_E_INDEX]);
  599. #endif
  600. }
  601. else {
  602. #if ENABLED(LIN_ADVANCE)
  603. if (current_block->use_advance_lead)
  604. current_estep_rate[TOOL_E_INDEX] = final_estep_rate;
  605. eISR_Rate = (OCR1A_nominal >> 3) * step_loops_nominal / abs(e_steps[TOOL_E_INDEX]);
  606. #endif
  607. OCR1A = OCR1A_nominal;
  608. // ensure we're running at the correct step rate, even if we just came off an acceleration
  609. step_loops = step_loops_nominal;
  610. }
  611. NOLESS(OCR1A, TCNT1 + 16);
  612. // If current block is finished, reset pointer
  613. if (all_steps_done) {
  614. current_block = NULL;
  615. planner.discard_current_block();
  616. }
  617. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  618. SBI(TIMSK0, OCIE0A);
  619. #endif
  620. SBI(TIMSK0, OCIE0B);
  621. ENABLE_STEPPER_DRIVER_INTERRUPT();
  622. }
  623. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  624. // Timer interrupt for E. e_steps is set in the main routine;
  625. // Timer 0 is shared with millies
  626. ISR(TIMER0_COMPA_vect) { Stepper::advance_isr(); }
  627. void Stepper::advance_isr() {
  628. old_OCR0A += eISR_Rate;
  629. OCR0A = old_OCR0A;
  630. #define SET_E_STEP_DIR(INDEX) \
  631. if (e_steps[INDEX]) E## INDEX ##_DIR_WRITE(e_steps[INDEX] < 0 ? INVERT_E## INDEX ##_DIR : !INVERT_E## INDEX ##_DIR)
  632. #define START_E_PULSE(INDEX) \
  633. if (e_steps[INDEX]) E## INDEX ##_STEP_WRITE(!INVERT_E_STEP_PIN)
  634. #define STOP_E_PULSE(INDEX) \
  635. if (e_steps[INDEX]) { \
  636. e_steps[INDEX] < 0 ? ++e_steps[INDEX] : --e_steps[INDEX]; \
  637. E## INDEX ##_STEP_WRITE(INVERT_E_STEP_PIN); \
  638. }
  639. SET_E_STEP_DIR(0);
  640. #if E_STEPPERS > 1
  641. SET_E_STEP_DIR(1);
  642. #if E_STEPPERS > 2
  643. SET_E_STEP_DIR(2);
  644. #if E_STEPPERS > 3
  645. SET_E_STEP_DIR(3);
  646. #endif
  647. #endif
  648. #endif
  649. #define CYCLES_EATEN_BY_E 60
  650. // Step all E steppers that have steps
  651. for (uint8_t i = 0; i < step_loops; i++) {
  652. #if STEP_PULSE_CYCLES > CYCLES_EATEN_BY_E
  653. static uint32_t pulse_start;
  654. pulse_start = TCNT0;
  655. #endif
  656. START_E_PULSE(0);
  657. #if E_STEPPERS > 1
  658. START_E_PULSE(1);
  659. #if E_STEPPERS > 2
  660. START_E_PULSE(2);
  661. #if E_STEPPERS > 3
  662. START_E_PULSE(3);
  663. #endif
  664. #endif
  665. #endif
  666. // For a minimum pulse time wait before stopping pulses
  667. #if STEP_PULSE_CYCLES > CYCLES_EATEN_BY_E
  668. while ((uint32_t)(TCNT0 - pulse_start) < STEP_PULSE_CYCLES - CYCLES_EATEN_BY_E) { /* nada */ }
  669. #endif
  670. STOP_E_PULSE(0);
  671. #if E_STEPPERS > 1
  672. STOP_E_PULSE(1);
  673. #if E_STEPPERS > 2
  674. STOP_E_PULSE(2);
  675. #if E_STEPPERS > 3
  676. STOP_E_PULSE(3);
  677. #endif
  678. #endif
  679. #endif
  680. }
  681. }
  682. #endif // ADVANCE or LIN_ADVANCE
  683. void Stepper::init() {
  684. // Init Digipot Motor Current
  685. #if HAS_DIGIPOTSS || HAS_MOTOR_CURRENT_PWM
  686. digipot_init();
  687. #endif
  688. // Init Microstepping Pins
  689. #if HAS_MICROSTEPS
  690. microstep_init();
  691. #endif
  692. // Init TMC Steppers
  693. #if ENABLED(HAVE_TMCDRIVER)
  694. tmc_init();
  695. #endif
  696. // Init TMC2130 Steppers
  697. #if ENABLED(HAVE_TMC2130DRIVER)
  698. tmc2130_init();
  699. #endif
  700. // Init L6470 Steppers
  701. #if ENABLED(HAVE_L6470DRIVER)
  702. L6470_init();
  703. #endif
  704. // Init Dir Pins
  705. #if HAS_X_DIR
  706. X_DIR_INIT;
  707. #endif
  708. #if HAS_X2_DIR
  709. X2_DIR_INIT;
  710. #endif
  711. #if HAS_Y_DIR
  712. Y_DIR_INIT;
  713. #if ENABLED(Y_DUAL_STEPPER_DRIVERS) && HAS_Y2_DIR
  714. Y2_DIR_INIT;
  715. #endif
  716. #endif
  717. #if HAS_Z_DIR
  718. Z_DIR_INIT;
  719. #if ENABLED(Z_DUAL_STEPPER_DRIVERS) && HAS_Z2_DIR
  720. Z2_DIR_INIT;
  721. #endif
  722. #endif
  723. #if HAS_E0_DIR
  724. E0_DIR_INIT;
  725. #endif
  726. #if HAS_E1_DIR
  727. E1_DIR_INIT;
  728. #endif
  729. #if HAS_E2_DIR
  730. E2_DIR_INIT;
  731. #endif
  732. #if HAS_E3_DIR
  733. E3_DIR_INIT;
  734. #endif
  735. // Init Enable Pins - steppers default to disabled.
  736. #if HAS_X_ENABLE
  737. X_ENABLE_INIT;
  738. if (!X_ENABLE_ON) X_ENABLE_WRITE(HIGH);
  739. #if ENABLED(DUAL_X_CARRIAGE) && HAS_X2_ENABLE
  740. X2_ENABLE_INIT;
  741. if (!X_ENABLE_ON) X2_ENABLE_WRITE(HIGH);
  742. #endif
  743. #endif
  744. #if HAS_Y_ENABLE
  745. Y_ENABLE_INIT;
  746. if (!Y_ENABLE_ON) Y_ENABLE_WRITE(HIGH);
  747. #if ENABLED(Y_DUAL_STEPPER_DRIVERS) && HAS_Y2_ENABLE
  748. Y2_ENABLE_INIT;
  749. if (!Y_ENABLE_ON) Y2_ENABLE_WRITE(HIGH);
  750. #endif
  751. #endif
  752. #if HAS_Z_ENABLE
  753. Z_ENABLE_INIT;
  754. if (!Z_ENABLE_ON) Z_ENABLE_WRITE(HIGH);
  755. #if ENABLED(Z_DUAL_STEPPER_DRIVERS) && HAS_Z2_ENABLE
  756. Z2_ENABLE_INIT;
  757. if (!Z_ENABLE_ON) Z2_ENABLE_WRITE(HIGH);
  758. #endif
  759. #endif
  760. #if HAS_E0_ENABLE
  761. E0_ENABLE_INIT;
  762. if (!E_ENABLE_ON) E0_ENABLE_WRITE(HIGH);
  763. #endif
  764. #if HAS_E1_ENABLE
  765. E1_ENABLE_INIT;
  766. if (!E_ENABLE_ON) E1_ENABLE_WRITE(HIGH);
  767. #endif
  768. #if HAS_E2_ENABLE
  769. E2_ENABLE_INIT;
  770. if (!E_ENABLE_ON) E2_ENABLE_WRITE(HIGH);
  771. #endif
  772. #if HAS_E3_ENABLE
  773. E3_ENABLE_INIT;
  774. if (!E_ENABLE_ON) E3_ENABLE_WRITE(HIGH);
  775. #endif
  776. // Init endstops and pullups
  777. endstops.init();
  778. #define _STEP_INIT(AXIS) AXIS ##_STEP_INIT
  779. #define _WRITE_STEP(AXIS, HIGHLOW) AXIS ##_STEP_WRITE(HIGHLOW)
  780. #define _DISABLE(axis) disable_## axis()
  781. #define AXIS_INIT(axis, AXIS, PIN) \
  782. _STEP_INIT(AXIS); \
  783. _WRITE_STEP(AXIS, _INVERT_STEP_PIN(PIN)); \
  784. _DISABLE(axis)
  785. #define E_AXIS_INIT(NUM) AXIS_INIT(e## NUM, E## NUM, E)
  786. // Init Step Pins
  787. #if HAS_X_STEP
  788. #if ENABLED(X_DUAL_STEPPER_DRIVERS) || ENABLED(DUAL_X_CARRIAGE)
  789. X2_STEP_INIT;
  790. X2_STEP_WRITE(INVERT_X_STEP_PIN);
  791. #endif
  792. AXIS_INIT(x, X, X);
  793. #endif
  794. #if HAS_Y_STEP
  795. #if ENABLED(Y_DUAL_STEPPER_DRIVERS)
  796. Y2_STEP_INIT;
  797. Y2_STEP_WRITE(INVERT_Y_STEP_PIN);
  798. #endif
  799. AXIS_INIT(y, Y, Y);
  800. #endif
  801. #if HAS_Z_STEP
  802. #if ENABLED(Z_DUAL_STEPPER_DRIVERS)
  803. Z2_STEP_INIT;
  804. Z2_STEP_WRITE(INVERT_Z_STEP_PIN);
  805. #endif
  806. AXIS_INIT(z, Z, Z);
  807. #endif
  808. #if HAS_E0_STEP
  809. E_AXIS_INIT(0);
  810. #endif
  811. #if HAS_E1_STEP
  812. E_AXIS_INIT(1);
  813. #endif
  814. #if HAS_E2_STEP
  815. E_AXIS_INIT(2);
  816. #endif
  817. #if HAS_E3_STEP
  818. E_AXIS_INIT(3);
  819. #endif
  820. // waveform generation = 0100 = CTC
  821. CBI(TCCR1B, WGM13);
  822. SBI(TCCR1B, WGM12);
  823. CBI(TCCR1A, WGM11);
  824. CBI(TCCR1A, WGM10);
  825. // output mode = 00 (disconnected)
  826. TCCR1A &= ~(3 << COM1A0);
  827. TCCR1A &= ~(3 << COM1B0);
  828. // Set the timer pre-scaler
  829. // Generally we use a divider of 8, resulting in a 2MHz timer
  830. // frequency on a 16MHz MCU. If you are going to change this, be
  831. // sure to regenerate speed_lookuptable.h with
  832. // create_speed_lookuptable.py
  833. TCCR1B = (TCCR1B & ~(0x07 << CS10)) | (2 << CS10);
  834. // Init Stepper ISR to 122 Hz for quick starting
  835. OCR1A = 0x4000;
  836. TCNT1 = 0;
  837. ENABLE_STEPPER_DRIVER_INTERRUPT();
  838. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  839. for (int i = 0; i < E_STEPPERS; i++) {
  840. e_steps[i] = 0;
  841. #if ENABLED(LIN_ADVANCE)
  842. current_adv_steps[i] = 0;
  843. #endif
  844. }
  845. #if defined(TCCR0A) && defined(WGM01)
  846. CBI(TCCR0A, WGM01);
  847. CBI(TCCR0A, WGM00);
  848. #endif
  849. SBI(TIMSK0, OCIE0A);
  850. #endif // ADVANCE or LIN_ADVANCE
  851. endstops.enable(true); // Start with endstops active. After homing they can be disabled
  852. sei();
  853. set_directions(); // Init directions to last_direction_bits = 0
  854. }
  855. /**
  856. * Block until all buffered steps are executed
  857. */
  858. void Stepper::synchronize() { while (planner.blocks_queued()) idle(); }
  859. /**
  860. * Set the stepper positions directly in steps
  861. *
  862. * The input is based on the typical per-axis XYZ steps.
  863. * For CORE machines XYZ needs to be translated to ABC.
  864. *
  865. * This allows get_axis_position_mm to correctly
  866. * derive the current XYZ position later on.
  867. */
  868. void Stepper::set_position(const long &a, const long &b, const long &c, const long &e) {
  869. synchronize(); // Bad to set stepper counts in the middle of a move
  870. CRITICAL_SECTION_START;
  871. #if CORE_IS_XY
  872. // corexy positioning
  873. // these equations follow the form of the dA and dB equations on http://www.corexy.com/theory.html
  874. count_position[A_AXIS] = a + b;
  875. count_position[B_AXIS] = CORESIGN(a - b);
  876. count_position[Z_AXIS] = c;
  877. #elif CORE_IS_XZ
  878. // corexz planning
  879. count_position[A_AXIS] = a + c;
  880. count_position[Y_AXIS] = b;
  881. count_position[C_AXIS] = CORESIGN(a - c);
  882. #elif CORE_IS_YZ
  883. // coreyz planning
  884. count_position[X_AXIS] = a;
  885. count_position[B_AXIS] = b + c;
  886. count_position[C_AXIS] = CORESIGN(b - c);
  887. #else
  888. // default non-h-bot planning
  889. count_position[X_AXIS] = a;
  890. count_position[Y_AXIS] = b;
  891. count_position[Z_AXIS] = c;
  892. #endif
  893. count_position[E_AXIS] = e;
  894. CRITICAL_SECTION_END;
  895. }
  896. void Stepper::set_position(const AxisEnum &axis, const long &v) {
  897. CRITICAL_SECTION_START;
  898. count_position[axis] = v;
  899. CRITICAL_SECTION_END;
  900. }
  901. void Stepper::set_e_position(const long &e) {
  902. CRITICAL_SECTION_START;
  903. count_position[E_AXIS] = e;
  904. CRITICAL_SECTION_END;
  905. }
  906. /**
  907. * Get a stepper's position in steps.
  908. */
  909. long Stepper::position(AxisEnum axis) {
  910. CRITICAL_SECTION_START;
  911. long count_pos = count_position[axis];
  912. CRITICAL_SECTION_END;
  913. return count_pos;
  914. }
  915. /**
  916. * Get an axis position according to stepper position(s)
  917. * For CORE machines apply translation from ABC to XYZ.
  918. */
  919. float Stepper::get_axis_position_mm(AxisEnum axis) {
  920. float axis_steps;
  921. #if IS_CORE
  922. // Requesting one of the "core" axes?
  923. if (axis == CORE_AXIS_1 || axis == CORE_AXIS_2) {
  924. CRITICAL_SECTION_START;
  925. // ((a1+a2)+(a1-a2))/2 -> (a1+a2+a1-a2)/2 -> (a1+a1)/2 -> a1
  926. // ((a1+a2)-(a1-a2))/2 -> (a1+a2-a1+a2)/2 -> (a2+a2)/2 -> a2
  927. axis_steps = 0.5f * (
  928. axis == CORE_AXIS_2 ? CORESIGN(count_position[CORE_AXIS_1] - count_position[CORE_AXIS_2])
  929. : count_position[CORE_AXIS_1] + count_position[CORE_AXIS_2]
  930. );
  931. CRITICAL_SECTION_END;
  932. }
  933. else
  934. axis_steps = position(axis);
  935. #else
  936. axis_steps = position(axis);
  937. #endif
  938. return axis_steps * planner.steps_to_mm[axis];
  939. }
  940. void Stepper::finish_and_disable() {
  941. synchronize();
  942. disable_all_steppers();
  943. }
  944. void Stepper::quick_stop() {
  945. cleaning_buffer_counter = 5000;
  946. DISABLE_STEPPER_DRIVER_INTERRUPT();
  947. while (planner.blocks_queued()) planner.discard_current_block();
  948. current_block = NULL;
  949. ENABLE_STEPPER_DRIVER_INTERRUPT();
  950. #if ENABLED(ULTRA_LCD)
  951. planner.clear_block_buffer_runtime();
  952. #endif
  953. }
  954. void Stepper::endstop_triggered(AxisEnum axis) {
  955. #if IS_CORE
  956. endstops_trigsteps[axis] = 0.5f * (
  957. axis == CORE_AXIS_2 ? CORESIGN(count_position[CORE_AXIS_1] - count_position[CORE_AXIS_2])
  958. : count_position[CORE_AXIS_1] + count_position[CORE_AXIS_2]
  959. );
  960. #else // !COREXY && !COREXZ && !COREYZ
  961. endstops_trigsteps[axis] = count_position[axis];
  962. #endif // !COREXY && !COREXZ && !COREYZ
  963. kill_current_block();
  964. }
  965. void Stepper::report_positions() {
  966. CRITICAL_SECTION_START;
  967. long xpos = count_position[X_AXIS],
  968. ypos = count_position[Y_AXIS],
  969. zpos = count_position[Z_AXIS];
  970. CRITICAL_SECTION_END;
  971. #if CORE_IS_XY || CORE_IS_XZ || IS_SCARA
  972. SERIAL_PROTOCOLPGM(MSG_COUNT_A);
  973. #else
  974. SERIAL_PROTOCOLPGM(MSG_COUNT_X);
  975. #endif
  976. SERIAL_PROTOCOL(xpos);
  977. #if CORE_IS_XY || CORE_IS_YZ || IS_SCARA
  978. SERIAL_PROTOCOLPGM(" B:");
  979. #else
  980. SERIAL_PROTOCOLPGM(" Y:");
  981. #endif
  982. SERIAL_PROTOCOL(ypos);
  983. #if CORE_IS_XZ || CORE_IS_YZ
  984. SERIAL_PROTOCOLPGM(" C:");
  985. #else
  986. SERIAL_PROTOCOLPGM(" Z:");
  987. #endif
  988. SERIAL_PROTOCOL(zpos);
  989. SERIAL_EOL;
  990. }
  991. #if ENABLED(BABYSTEPPING)
  992. #define _ENABLE(axis) enable_## axis()
  993. #define _READ_DIR(AXIS) AXIS ##_DIR_READ
  994. #define _INVERT_DIR(AXIS) INVERT_## AXIS ##_DIR
  995. #define _APPLY_DIR(AXIS, INVERT) AXIS ##_APPLY_DIR(INVERT, true)
  996. #define BABYSTEP_AXIS(axis, AXIS, INVERT) { \
  997. _ENABLE(axis); \
  998. uint8_t old_pin = _READ_DIR(AXIS); \
  999. _APPLY_DIR(AXIS, _INVERT_DIR(AXIS)^direction^INVERT); \
  1000. _APPLY_STEP(AXIS)(!_INVERT_STEP_PIN(AXIS), true); \
  1001. delayMicroseconds(2); \
  1002. _APPLY_STEP(AXIS)(_INVERT_STEP_PIN(AXIS), true); \
  1003. _APPLY_DIR(AXIS, old_pin); \
  1004. }
  1005. // MUST ONLY BE CALLED BY AN ISR,
  1006. // No other ISR should ever interrupt this!
  1007. void Stepper::babystep(const AxisEnum axis, const bool direction) {
  1008. switch (axis) {
  1009. case X_AXIS:
  1010. BABYSTEP_AXIS(x, X, false);
  1011. break;
  1012. case Y_AXIS:
  1013. BABYSTEP_AXIS(y, Y, false);
  1014. break;
  1015. case Z_AXIS: {
  1016. #if DISABLED(DELTA)
  1017. BABYSTEP_AXIS(z, Z, BABYSTEP_INVERT_Z);
  1018. #else // DELTA
  1019. bool z_direction = direction ^ BABYSTEP_INVERT_Z;
  1020. enable_x();
  1021. enable_y();
  1022. enable_z();
  1023. uint8_t old_x_dir_pin = X_DIR_READ,
  1024. old_y_dir_pin = Y_DIR_READ,
  1025. old_z_dir_pin = Z_DIR_READ;
  1026. //setup new step
  1027. X_DIR_WRITE(INVERT_X_DIR ^ z_direction);
  1028. Y_DIR_WRITE(INVERT_Y_DIR ^ z_direction);
  1029. Z_DIR_WRITE(INVERT_Z_DIR ^ z_direction);
  1030. //perform step
  1031. X_STEP_WRITE(!INVERT_X_STEP_PIN);
  1032. Y_STEP_WRITE(!INVERT_Y_STEP_PIN);
  1033. Z_STEP_WRITE(!INVERT_Z_STEP_PIN);
  1034. delayMicroseconds(2);
  1035. X_STEP_WRITE(INVERT_X_STEP_PIN);
  1036. Y_STEP_WRITE(INVERT_Y_STEP_PIN);
  1037. Z_STEP_WRITE(INVERT_Z_STEP_PIN);
  1038. //get old pin state back.
  1039. X_DIR_WRITE(old_x_dir_pin);
  1040. Y_DIR_WRITE(old_y_dir_pin);
  1041. Z_DIR_WRITE(old_z_dir_pin);
  1042. #endif
  1043. } break;
  1044. default: break;
  1045. }
  1046. }
  1047. #endif //BABYSTEPPING
  1048. /**
  1049. * Software-controlled Stepper Motor Current
  1050. */
  1051. #if HAS_DIGIPOTSS
  1052. // From Arduino DigitalPotControl example
  1053. void Stepper::digitalPotWrite(int address, int value) {
  1054. WRITE(DIGIPOTSS_PIN, LOW); // take the SS pin low to select the chip
  1055. SPI.transfer(address); // send in the address and value via SPI:
  1056. SPI.transfer(value);
  1057. WRITE(DIGIPOTSS_PIN, HIGH); // take the SS pin high to de-select the chip:
  1058. //delay(10);
  1059. }
  1060. #endif //HAS_DIGIPOTSS
  1061. #if HAS_DIGIPOTSS || HAS_MOTOR_CURRENT_PWM
  1062. void Stepper::digipot_init() {
  1063. #if HAS_DIGIPOTSS
  1064. static const uint8_t digipot_motor_current[] = DIGIPOT_MOTOR_CURRENT;
  1065. SPI.begin();
  1066. SET_OUTPUT(DIGIPOTSS_PIN);
  1067. for (uint8_t i = 0; i < COUNT(digipot_motor_current); i++) {
  1068. //digitalPotWrite(digipot_ch[i], digipot_motor_current[i]);
  1069. digipot_current(i, digipot_motor_current[i]);
  1070. }
  1071. #elif HAS_MOTOR_CURRENT_PWM
  1072. #if PIN_EXISTS(MOTOR_CURRENT_PWM_XY)
  1073. SET_OUTPUT(MOTOR_CURRENT_PWM_XY_PIN);
  1074. digipot_current(0, motor_current_setting[0]);
  1075. #endif
  1076. #if PIN_EXISTS(MOTOR_CURRENT_PWM_Z)
  1077. SET_OUTPUT(MOTOR_CURRENT_PWM_Z_PIN);
  1078. digipot_current(1, motor_current_setting[1]);
  1079. #endif
  1080. #if PIN_EXISTS(MOTOR_CURRENT_PWM_E)
  1081. SET_OUTPUT(MOTOR_CURRENT_PWM_E_PIN);
  1082. digipot_current(2, motor_current_setting[2]);
  1083. #endif
  1084. //Set timer5 to 31khz so the PWM of the motor power is as constant as possible. (removes a buzzing noise)
  1085. TCCR5B = (TCCR5B & ~(_BV(CS50) | _BV(CS51) | _BV(CS52))) | _BV(CS50);
  1086. #endif
  1087. }
  1088. void Stepper::digipot_current(uint8_t driver, int current) {
  1089. #if HAS_DIGIPOTSS
  1090. const uint8_t digipot_ch[] = DIGIPOT_CHANNELS;
  1091. digitalPotWrite(digipot_ch[driver], current);
  1092. #elif HAS_MOTOR_CURRENT_PWM
  1093. #define _WRITE_CURRENT_PWM(P) analogWrite(P, 255L * current / (MOTOR_CURRENT_PWM_RANGE))
  1094. switch (driver) {
  1095. #if PIN_EXISTS(MOTOR_CURRENT_PWM_XY)
  1096. case 0: _WRITE_CURRENT_PWM(MOTOR_CURRENT_PWM_XY_PIN); break;
  1097. #endif
  1098. #if PIN_EXISTS(MOTOR_CURRENT_PWM_Z)
  1099. case 1: _WRITE_CURRENT_PWM(MOTOR_CURRENT_PWM_Z_PIN); break;
  1100. #endif
  1101. #if PIN_EXISTS(MOTOR_CURRENT_PWM_E)
  1102. case 2: _WRITE_CURRENT_PWM(MOTOR_CURRENT_PWM_E_PIN); break;
  1103. #endif
  1104. }
  1105. #endif
  1106. }
  1107. #endif
  1108. #if HAS_MICROSTEPS
  1109. /**
  1110. * Software-controlled Microstepping
  1111. */
  1112. void Stepper::microstep_init() {
  1113. SET_OUTPUT(X_MS1_PIN);
  1114. SET_OUTPUT(X_MS2_PIN);
  1115. #if HAS_MICROSTEPS_Y
  1116. SET_OUTPUT(Y_MS1_PIN);
  1117. SET_OUTPUT(Y_MS2_PIN);
  1118. #endif
  1119. #if HAS_MICROSTEPS_Z
  1120. SET_OUTPUT(Z_MS1_PIN);
  1121. SET_OUTPUT(Z_MS2_PIN);
  1122. #endif
  1123. #if HAS_MICROSTEPS_E0
  1124. SET_OUTPUT(E0_MS1_PIN);
  1125. SET_OUTPUT(E0_MS2_PIN);
  1126. #endif
  1127. #if HAS_MICROSTEPS_E1
  1128. SET_OUTPUT(E1_MS1_PIN);
  1129. SET_OUTPUT(E1_MS2_PIN);
  1130. #endif
  1131. static const uint8_t microstep_modes[] = MICROSTEP_MODES;
  1132. for (uint16_t i = 0; i < COUNT(microstep_modes); i++)
  1133. microstep_mode(i, microstep_modes[i]);
  1134. }
  1135. void Stepper::microstep_ms(uint8_t driver, int8_t ms1, int8_t ms2) {
  1136. if (ms1 >= 0) switch (driver) {
  1137. case 0: digitalWrite(X_MS1_PIN, ms1); break;
  1138. #if HAS_MICROSTEPS_Y
  1139. case 1: digitalWrite(Y_MS1_PIN, ms1); break;
  1140. #endif
  1141. #if HAS_MICROSTEPS_Z
  1142. case 2: digitalWrite(Z_MS1_PIN, ms1); break;
  1143. #endif
  1144. #if HAS_MICROSTEPS_E0
  1145. case 3: digitalWrite(E0_MS1_PIN, ms1); break;
  1146. #endif
  1147. #if HAS_MICROSTEPS_E1
  1148. case 4: digitalWrite(E1_MS1_PIN, ms1); break;
  1149. #endif
  1150. }
  1151. if (ms2 >= 0) switch (driver) {
  1152. case 0: digitalWrite(X_MS2_PIN, ms2); break;
  1153. #if HAS_MICROSTEPS_Y
  1154. case 1: digitalWrite(Y_MS2_PIN, ms2); break;
  1155. #endif
  1156. #if HAS_MICROSTEPS_Z
  1157. case 2: digitalWrite(Z_MS2_PIN, ms2); break;
  1158. #endif
  1159. #if HAS_MICROSTEPS_E0
  1160. case 3: digitalWrite(E0_MS2_PIN, ms2); break;
  1161. #endif
  1162. #if HAS_MICROSTEPS_E1
  1163. case 4: digitalWrite(E1_MS2_PIN, ms2); break;
  1164. #endif
  1165. }
  1166. }
  1167. void Stepper::microstep_mode(uint8_t driver, uint8_t stepping_mode) {
  1168. switch (stepping_mode) {
  1169. case 1: microstep_ms(driver, MICROSTEP1); break;
  1170. case 2: microstep_ms(driver, MICROSTEP2); break;
  1171. case 4: microstep_ms(driver, MICROSTEP4); break;
  1172. case 8: microstep_ms(driver, MICROSTEP8); break;
  1173. case 16: microstep_ms(driver, MICROSTEP16); break;
  1174. }
  1175. }
  1176. void Stepper::microstep_readings() {
  1177. SERIAL_PROTOCOLLNPGM("MS1,MS2 Pins");
  1178. SERIAL_PROTOCOLPGM("X: ");
  1179. SERIAL_PROTOCOL(READ(X_MS1_PIN));
  1180. SERIAL_PROTOCOLLN(READ(X_MS2_PIN));
  1181. #if HAS_MICROSTEPS_Y
  1182. SERIAL_PROTOCOLPGM("Y: ");
  1183. SERIAL_PROTOCOL(READ(Y_MS1_PIN));
  1184. SERIAL_PROTOCOLLN(READ(Y_MS2_PIN));
  1185. #endif
  1186. #if HAS_MICROSTEPS_Z
  1187. SERIAL_PROTOCOLPGM("Z: ");
  1188. SERIAL_PROTOCOL(READ(Z_MS1_PIN));
  1189. SERIAL_PROTOCOLLN(READ(Z_MS2_PIN));
  1190. #endif
  1191. #if HAS_MICROSTEPS_E0
  1192. SERIAL_PROTOCOLPGM("E0: ");
  1193. SERIAL_PROTOCOL(READ(E0_MS1_PIN));
  1194. SERIAL_PROTOCOLLN(READ(E0_MS2_PIN));
  1195. #endif
  1196. #if HAS_MICROSTEPS_E1
  1197. SERIAL_PROTOCOLPGM("E1: ");
  1198. SERIAL_PROTOCOL(READ(E1_MS1_PIN));
  1199. SERIAL_PROTOCOLLN(READ(E1_MS2_PIN));
  1200. #endif
  1201. }
  1202. #endif // HAS_MICROSTEPS