My Marlin configs for Fabrikator Mini and CTC i3 Pro B
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

stepper.cpp 50KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798991001011021031041051061071081091101111121131141151161171181191201211221231241251261271281291301311321331341351361371381391401411421431441451461471481491501511521531541551561571581591601611621631641651661671681691701711721731741751761771781791801811821831841851861871881891901911921931941951961971981992002012022032042052062072082092102112122132142152162172182192202212222232242252262272282292302312322332342352362372382392402412422432442452462472482492502512522532542552562572582592602612622632642652662672682692702712722732742752762772782792802812822832842852862872882892902912922932942952962972982993003013023033043053063073083093103113123133143153163173183193203213223233243253263273283293303313323333343353363373383393403413423433443453463473483493503513523533543553563573583593603613623633643653663673683693703713723733743753763773783793803813823833843853863873883893903913923933943953963973983994004014024034044054064074084094104114124134144154164174184194204214224234244254264274284294304314324334344354364374384394404414424434444454464474484494504514524534544554564574584594604614624634644654664674684694704714724734744754764774784794804814824834844854864874884894904914924934944954964974984995005015025035045055065075085095105115125135145155165175185195205215225235245255265275285295305315325335345355365375385395405415425435445455465475485495505515525535545555565575585595605615625635645655665675685695705715725735745755765775785795805815825835845855865875885895905915925935945955965975985996006016026036046056066076086096106116126136146156166176186196206216226236246256266276286296306316326336346356366376386396406416426436446456466476486496506516526536546556566576586596606616626636646656666676686696706716726736746756766776786796806816826836846856866876886896906916926936946956966976986997007017027037047057067077087097107117127137147157167177187197207217227237247257267277287297307317327337347357367377387397407417427437447457467477487497507517527537547557567577587597607617627637647657667677687697707717727737747757767777787797807817827837847857867877887897907917927937947957967977987998008018028038048058068078088098108118128138148158168178188198208218228238248258268278288298308318328338348358368378388398408418428438448458468478488498508518528538548558568578588598608618628638648658668678688698708718728738748758768778788798808818828838848858868878888898908918928938948958968978988999009019029039049059069079089099109119129139149159169179189199209219229239249259269279289299309319329339349359369379389399409419429439449459469479489499509519529539549559569579589599609619629639649659669679689699709719729739749759769779789799809819829839849859869879889899909919929939949959969979989991000100110021003100410051006100710081009101010111012101310141015101610171018101910201021102210231024102510261027102810291030103110321033103410351036103710381039104010411042104310441045104610471048104910501051105210531054105510561057105810591060106110621063106410651066106710681069107010711072107310741075107610771078107910801081108210831084108510861087108810891090109110921093109410951096109710981099110011011102110311041105110611071108110911101111111211131114111511161117111811191120112111221123112411251126112711281129113011311132113311341135113611371138113911401141114211431144114511461147114811491150115111521153115411551156115711581159116011611162116311641165116611671168116911701171117211731174117511761177117811791180118111821183118411851186118711881189119011911192119311941195119611971198119912001201120212031204120512061207120812091210121112121213121412151216121712181219122012211222122312241225122612271228122912301231123212331234123512361237123812391240124112421243124412451246124712481249125012511252125312541255125612571258125912601261126212631264126512661267126812691270127112721273127412751276127712781279128012811282128312841285128612871288128912901291129212931294129512961297129812991300130113021303130413051306130713081309131013111312131313141315131613171318131913201321132213231324132513261327132813291330133113321333133413351336133713381339134013411342134313441345134613471348134913501351135213531354135513561357135813591360136113621363136413651366136713681369137013711372137313741375137613771378137913801381138213831384138513861387138813891390139113921393139413951396139713981399140014011402140314041405140614071408140914101411141214131414141514161417141814191420142114221423142414251426142714281429143014311432143314341435143614371438143914401441144214431444144514461447144814491450145114521453145414551456145714581459146014611462146314641465146614671468146914701471147214731474147514761477147814791480148114821483148414851486148714881489149014911492149314941495149614971498149915001501150215031504150515061507150815091510151115121513151415151516151715181519152015211522152315241525152615271528152915301531153215331534153515361537153815391540154115421543154415451546154715481549155015511552155315541555155615571558155915601561156215631564156515661567156815691570157115721573157415751576157715781579158015811582158315841585158615871588158915901591159215931594159515961597159815991600160116021603160416051606160716081609161016111612161316141615161616171618161916201621162216231624162516261627162816291630163116321633163416351636163716381639164016411642164316441645164616471648164916501651165216531654165516561657165816591660166116621663166416651666166716681669167016711672167316741675167616771678167916801681168216831684168516861687168816891690169116921693169416951696169716981699170017011702170317041705170617071708170917101711
  1. /**
  2. * Marlin 3D Printer Firmware
  3. * Copyright (C) 2016 MarlinFirmware [https://github.com/MarlinFirmware/Marlin]
  4. *
  5. * Based on Sprinter and grbl.
  6. * Copyright (C) 2011 Camiel Gubbels / Erik van der Zalm
  7. *
  8. * This program is free software: you can redistribute it and/or modify
  9. * it under the terms of the GNU General Public License as published by
  10. * the Free Software Foundation, either version 3 of the License, or
  11. * (at your option) any later version.
  12. *
  13. * This program is distributed in the hope that it will be useful,
  14. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  15. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  16. * GNU General Public License for more details.
  17. *
  18. * You should have received a copy of the GNU General Public License
  19. * along with this program. If not, see <http://www.gnu.org/licenses/>.
  20. *
  21. */
  22. /**
  23. * stepper.cpp - A singleton object to execute motion plans using stepper motors
  24. * Marlin Firmware
  25. *
  26. * Derived from Grbl
  27. * Copyright (c) 2009-2011 Simen Svale Skogsrud
  28. *
  29. * Grbl is free software: you can redistribute it and/or modify
  30. * it under the terms of the GNU General Public License as published by
  31. * the Free Software Foundation, either version 3 of the License, or
  32. * (at your option) any later version.
  33. *
  34. * Grbl is distributed in the hope that it will be useful,
  35. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  36. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  37. * GNU General Public License for more details.
  38. *
  39. * You should have received a copy of the GNU General Public License
  40. * along with Grbl. If not, see <http://www.gnu.org/licenses/>.
  41. */
  42. /* The timer calculations of this module informed by the 'RepRap cartesian firmware' by Zack Smith
  43. and Philipp Tiefenbacher. */
  44. #include "Marlin.h"
  45. #include "stepper.h"
  46. #include "endstops.h"
  47. #include "planner.h"
  48. #include "temperature.h"
  49. #include "ultralcd.h"
  50. #include "language.h"
  51. #include "cardreader.h"
  52. #include "speed_lookuptable.h"
  53. #if HAS_DIGIPOTSS
  54. #include <SPI.h>
  55. #endif
  56. Stepper stepper; // Singleton
  57. // public:
  58. #if ENABLED(AUTO_BED_LEVELING_UBL) && ENABLED(ULTIPANEL)
  59. extern bool ubl_lcd_map_control;
  60. #endif
  61. block_t* Stepper::current_block = NULL; // A pointer to the block currently being traced
  62. #if ENABLED(ABORT_ON_ENDSTOP_HIT_FEATURE_ENABLED)
  63. bool Stepper::abort_on_endstop_hit = false;
  64. #endif
  65. #if ENABLED(Z_DUAL_ENDSTOPS)
  66. bool Stepper::performing_homing = false;
  67. #endif
  68. #if HAS_MOTOR_CURRENT_PWM
  69. uint32_t Stepper::motor_current_setting[3]; // Initialized by settings.load()
  70. #endif
  71. // private:
  72. uint8_t Stepper::last_direction_bits = 0; // The next stepping-bits to be output
  73. uint16_t Stepper::cleaning_buffer_counter = 0;
  74. #if ENABLED(Z_DUAL_ENDSTOPS)
  75. bool Stepper::locked_z_motor = false;
  76. bool Stepper::locked_z2_motor = false;
  77. #endif
  78. long Stepper::counter_X = 0,
  79. Stepper::counter_Y = 0,
  80. Stepper::counter_Z = 0,
  81. Stepper::counter_E = 0;
  82. volatile uint32_t Stepper::step_events_completed = 0; // The number of step events executed in the current block
  83. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  84. constexpr uint16_t ADV_NEVER = 65535;
  85. uint16_t Stepper::nextMainISR = 0,
  86. Stepper::nextAdvanceISR = ADV_NEVER,
  87. Stepper::eISR_Rate = ADV_NEVER;
  88. #if ENABLED(LIN_ADVANCE)
  89. volatile int Stepper::e_steps[E_STEPPERS];
  90. int Stepper::final_estep_rate,
  91. Stepper::current_estep_rate[E_STEPPERS],
  92. Stepper::current_adv_steps[E_STEPPERS];
  93. #else
  94. long Stepper::e_steps[E_STEPPERS],
  95. Stepper::final_advance = 0,
  96. Stepper::old_advance = 0,
  97. Stepper::advance_rate,
  98. Stepper::advance;
  99. #endif
  100. /**
  101. * See https://github.com/MarlinFirmware/Marlin/issues/5699#issuecomment-309264382
  102. *
  103. * This fix isn't perfect and may lose steps - but better than locking up completely
  104. * in future the planner should slow down if advance stepping rate would be too high
  105. */
  106. FORCE_INLINE uint16_t adv_rate(const int steps, const uint16_t timer, const uint8_t loops) {
  107. if (steps) {
  108. const uint16_t rate = (timer * loops) / abs(steps);
  109. //return constrain(rate, 1, ADV_NEVER - 1)
  110. return rate ? rate : 1;
  111. }
  112. return ADV_NEVER;
  113. }
  114. #endif // ADVANCE || LIN_ADVANCE
  115. long Stepper::acceleration_time, Stepper::deceleration_time;
  116. volatile long Stepper::count_position[NUM_AXIS] = { 0 };
  117. volatile signed char Stepper::count_direction[NUM_AXIS] = { 1, 1, 1, 1 };
  118. #if ENABLED(MIXING_EXTRUDER)
  119. long Stepper::counter_m[MIXING_STEPPERS];
  120. #endif
  121. unsigned short Stepper::acc_step_rate; // needed for deceleration start point
  122. uint8_t Stepper::step_loops, Stepper::step_loops_nominal;
  123. unsigned short Stepper::OCR1A_nominal;
  124. volatile long Stepper::endstops_trigsteps[XYZ];
  125. #if ENABLED(X_DUAL_STEPPER_DRIVERS)
  126. #define X_APPLY_DIR(v,Q) do{ X_DIR_WRITE(v); X2_DIR_WRITE((v) != INVERT_X2_VS_X_DIR); }while(0)
  127. #define X_APPLY_STEP(v,Q) do{ X_STEP_WRITE(v); X2_STEP_WRITE(v); }while(0)
  128. #elif ENABLED(DUAL_X_CARRIAGE)
  129. #define X_APPLY_DIR(v,ALWAYS) \
  130. if (extruder_duplication_enabled || ALWAYS) { \
  131. X_DIR_WRITE(v); \
  132. X2_DIR_WRITE(v); \
  133. } \
  134. else { \
  135. if (current_block->active_extruder) X2_DIR_WRITE(v); else X_DIR_WRITE(v); \
  136. }
  137. #define X_APPLY_STEP(v,ALWAYS) \
  138. if (extruder_duplication_enabled || ALWAYS) { \
  139. X_STEP_WRITE(v); \
  140. X2_STEP_WRITE(v); \
  141. } \
  142. else { \
  143. if (current_block->active_extruder) X2_STEP_WRITE(v); else X_STEP_WRITE(v); \
  144. }
  145. #else
  146. #define X_APPLY_DIR(v,Q) X_DIR_WRITE(v)
  147. #define X_APPLY_STEP(v,Q) X_STEP_WRITE(v)
  148. #endif
  149. #if ENABLED(Y_DUAL_STEPPER_DRIVERS)
  150. #define Y_APPLY_DIR(v,Q) do{ Y_DIR_WRITE(v); Y2_DIR_WRITE((v) != INVERT_Y2_VS_Y_DIR); }while(0)
  151. #define Y_APPLY_STEP(v,Q) do{ Y_STEP_WRITE(v); Y2_STEP_WRITE(v); }while(0)
  152. #else
  153. #define Y_APPLY_DIR(v,Q) Y_DIR_WRITE(v)
  154. #define Y_APPLY_STEP(v,Q) Y_STEP_WRITE(v)
  155. #endif
  156. #if ENABLED(Z_DUAL_STEPPER_DRIVERS)
  157. #define Z_APPLY_DIR(v,Q) do{ Z_DIR_WRITE(v); Z2_DIR_WRITE(v); }while(0)
  158. #if ENABLED(Z_DUAL_ENDSTOPS)
  159. #define Z_APPLY_STEP(v,Q) \
  160. if (performing_homing) { \
  161. if (Z_HOME_DIR < 0) { \
  162. if (!(TEST(endstops.old_endstop_bits, Z_MIN) && (count_direction[Z_AXIS] < 0)) && !locked_z_motor) Z_STEP_WRITE(v); \
  163. if (!(TEST(endstops.old_endstop_bits, Z2_MIN) && (count_direction[Z_AXIS] < 0)) && !locked_z2_motor) Z2_STEP_WRITE(v); \
  164. } \
  165. else { \
  166. if (!(TEST(endstops.old_endstop_bits, Z_MAX) && (count_direction[Z_AXIS] > 0)) && !locked_z_motor) Z_STEP_WRITE(v); \
  167. if (!(TEST(endstops.old_endstop_bits, Z2_MAX) && (count_direction[Z_AXIS] > 0)) && !locked_z2_motor) Z2_STEP_WRITE(v); \
  168. } \
  169. } \
  170. else { \
  171. Z_STEP_WRITE(v); \
  172. Z2_STEP_WRITE(v); \
  173. }
  174. #else
  175. #define Z_APPLY_STEP(v,Q) do{ Z_STEP_WRITE(v); Z2_STEP_WRITE(v); }while(0)
  176. #endif
  177. #else
  178. #define Z_APPLY_DIR(v,Q) Z_DIR_WRITE(v)
  179. #define Z_APPLY_STEP(v,Q) Z_STEP_WRITE(v)
  180. #endif
  181. #if DISABLED(MIXING_EXTRUDER)
  182. #define E_APPLY_STEP(v,Q) E_STEP_WRITE(v)
  183. #endif
  184. // intRes = longIn1 * longIn2 >> 24
  185. // uses:
  186. // r26 to store 0
  187. // r27 to store bits 16-23 of the 48bit result. The top bit is used to round the two byte result.
  188. // note that the lower two bytes and the upper byte of the 48bit result are not calculated.
  189. // this can cause the result to be out by one as the lower bytes may cause carries into the upper ones.
  190. // B0 A0 are bits 24-39 and are the returned value
  191. // C1 B1 A1 is longIn1
  192. // D2 C2 B2 A2 is longIn2
  193. //
  194. #define MultiU24X32toH16(intRes, longIn1, longIn2) \
  195. asm volatile ( \
  196. "clr r26 \n\t" \
  197. "mul %A1, %B2 \n\t" \
  198. "mov r27, r1 \n\t" \
  199. "mul %B1, %C2 \n\t" \
  200. "movw %A0, r0 \n\t" \
  201. "mul %C1, %C2 \n\t" \
  202. "add %B0, r0 \n\t" \
  203. "mul %C1, %B2 \n\t" \
  204. "add %A0, r0 \n\t" \
  205. "adc %B0, r1 \n\t" \
  206. "mul %A1, %C2 \n\t" \
  207. "add r27, r0 \n\t" \
  208. "adc %A0, r1 \n\t" \
  209. "adc %B0, r26 \n\t" \
  210. "mul %B1, %B2 \n\t" \
  211. "add r27, r0 \n\t" \
  212. "adc %A0, r1 \n\t" \
  213. "adc %B0, r26 \n\t" \
  214. "mul %C1, %A2 \n\t" \
  215. "add r27, r0 \n\t" \
  216. "adc %A0, r1 \n\t" \
  217. "adc %B0, r26 \n\t" \
  218. "mul %B1, %A2 \n\t" \
  219. "add r27, r1 \n\t" \
  220. "adc %A0, r26 \n\t" \
  221. "adc %B0, r26 \n\t" \
  222. "lsr r27 \n\t" \
  223. "adc %A0, r26 \n\t" \
  224. "adc %B0, r26 \n\t" \
  225. "mul %D2, %A1 \n\t" \
  226. "add %A0, r0 \n\t" \
  227. "adc %B0, r1 \n\t" \
  228. "mul %D2, %B1 \n\t" \
  229. "add %B0, r0 \n\t" \
  230. "clr r1 \n\t" \
  231. : \
  232. "=&r" (intRes) \
  233. : \
  234. "d" (longIn1), \
  235. "d" (longIn2) \
  236. : \
  237. "r26" , "r27" \
  238. )
  239. // Some useful constants
  240. #define ENABLE_STEPPER_DRIVER_INTERRUPT() SBI(TIMSK1, OCIE1A)
  241. #define DISABLE_STEPPER_DRIVER_INTERRUPT() CBI(TIMSK1, OCIE1A)
  242. /**
  243. * __________________________
  244. * /| |\ _________________ ^
  245. * / | | \ /| |\ |
  246. * / | | \ / | | \ s
  247. * / | | | | | \ p
  248. * / | | | | | \ e
  249. * +-----+------------------------+---+--+---------------+----+ e
  250. * | BLOCK 1 | BLOCK 2 | d
  251. *
  252. * time ----->
  253. *
  254. * The trapezoid is the shape the speed curve over time. It starts at block->initial_rate, accelerates
  255. * first block->accelerate_until step_events_completed, then keeps going at constant speed until
  256. * step_events_completed reaches block->decelerate_after after which it decelerates until the trapezoid generator is reset.
  257. * The slope of acceleration is calculated using v = u + at where t is the accumulated timer values of the steps so far.
  258. */
  259. void Stepper::wake_up() {
  260. // TCNT1 = 0;
  261. ENABLE_STEPPER_DRIVER_INTERRUPT();
  262. }
  263. /**
  264. * Set the stepper direction of each axis
  265. *
  266. * COREXY: X_AXIS=A_AXIS and Y_AXIS=B_AXIS
  267. * COREXZ: X_AXIS=A_AXIS and Z_AXIS=C_AXIS
  268. * COREYZ: Y_AXIS=B_AXIS and Z_AXIS=C_AXIS
  269. */
  270. void Stepper::set_directions() {
  271. #define SET_STEP_DIR(AXIS) \
  272. if (motor_direction(AXIS ##_AXIS)) { \
  273. AXIS ##_APPLY_DIR(INVERT_## AXIS ##_DIR, false); \
  274. count_direction[AXIS ##_AXIS] = -1; \
  275. } \
  276. else { \
  277. AXIS ##_APPLY_DIR(!INVERT_## AXIS ##_DIR, false); \
  278. count_direction[AXIS ##_AXIS] = 1; \
  279. }
  280. #if HAS_X_DIR
  281. SET_STEP_DIR(X); // A
  282. #endif
  283. #if HAS_Y_DIR
  284. SET_STEP_DIR(Y); // B
  285. #endif
  286. #if HAS_Z_DIR
  287. SET_STEP_DIR(Z); // C
  288. #endif
  289. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  290. if (motor_direction(E_AXIS)) {
  291. REV_E_DIR();
  292. count_direction[E_AXIS] = -1;
  293. }
  294. else {
  295. NORM_E_DIR();
  296. count_direction[E_AXIS] = 1;
  297. }
  298. #endif // !ADVANCE && !LIN_ADVANCE
  299. }
  300. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  301. extern volatile uint8_t e_hit;
  302. #endif
  303. /**
  304. * Stepper Driver Interrupt
  305. *
  306. * Directly pulses the stepper motors at high frequency.
  307. * Timer 1 runs at a base frequency of 2MHz, with this ISR using OCR1A compare mode.
  308. *
  309. * OCR1A Frequency
  310. * 1 2 MHz
  311. * 50 40 KHz
  312. * 100 20 KHz - capped max rate
  313. * 200 10 KHz - nominal max rate
  314. * 2000 1 KHz - sleep rate
  315. * 4000 500 Hz - init rate
  316. */
  317. ISR(TIMER1_COMPA_vect) {
  318. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  319. Stepper::advance_isr_scheduler();
  320. #else
  321. Stepper::isr();
  322. #endif
  323. }
  324. #define _ENABLE_ISRs() do { cli(); if (thermalManager.in_temp_isr) CBI(TIMSK0, OCIE0B); else SBI(TIMSK0, OCIE0B); ENABLE_STEPPER_DRIVER_INTERRUPT(); } while(0)
  325. void Stepper::isr() {
  326. uint16_t ocr_val;
  327. #define ENDSTOP_NOMINAL_OCR_VAL 3000 // check endstops every 1.5ms to guarantee two stepper ISRs within 5ms for BLTouch
  328. #define OCR_VAL_TOLERANCE 1000 // First max delay is 2.0ms, last min delay is 0.5ms, all others 1.5ms
  329. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  330. // Disable Timer0 ISRs and enable global ISR again to capture UART events (incoming chars)
  331. CBI(TIMSK0, OCIE0B); // Temperature ISR
  332. DISABLE_STEPPER_DRIVER_INTERRUPT();
  333. sei();
  334. #endif
  335. #define _SPLIT(L) (ocr_val = (uint16_t)L)
  336. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  337. #define SPLIT(L) _SPLIT(L)
  338. #else // sample endstops in between step pulses
  339. static uint32_t step_remaining = 0;
  340. #define SPLIT(L) do { \
  341. _SPLIT(L); \
  342. if (ENDSTOPS_ENABLED && L > ENDSTOP_NOMINAL_OCR_VAL) { \
  343. const uint16_t remainder = (uint16_t)L % (ENDSTOP_NOMINAL_OCR_VAL); \
  344. ocr_val = (remainder < OCR_VAL_TOLERANCE) ? ENDSTOP_NOMINAL_OCR_VAL + remainder : ENDSTOP_NOMINAL_OCR_VAL; \
  345. step_remaining = (uint16_t)L - ocr_val; \
  346. } \
  347. }while(0)
  348. if (step_remaining && ENDSTOPS_ENABLED) { // Just check endstops - not yet time for a step
  349. endstops.update();
  350. if (step_remaining > ENDSTOP_NOMINAL_OCR_VAL) {
  351. step_remaining -= ENDSTOP_NOMINAL_OCR_VAL;
  352. ocr_val = ENDSTOP_NOMINAL_OCR_VAL;
  353. }
  354. else {
  355. ocr_val = step_remaining;
  356. step_remaining = 0; // last one before the ISR that does the step
  357. }
  358. _NEXT_ISR(ocr_val);
  359. NOLESS(OCR1A, TCNT1 + 16);
  360. _ENABLE_ISRs(); // re-enable ISRs
  361. return;
  362. }
  363. #endif
  364. if (cleaning_buffer_counter) {
  365. --cleaning_buffer_counter;
  366. current_block = NULL;
  367. planner.discard_current_block();
  368. #ifdef SD_FINISHED_RELEASECOMMAND
  369. if (!cleaning_buffer_counter && (SD_FINISHED_STEPPERRELEASE)) enqueue_and_echo_commands_P(PSTR(SD_FINISHED_RELEASECOMMAND));
  370. #endif
  371. _NEXT_ISR(200); // Run at max speed - 10 KHz
  372. _ENABLE_ISRs(); // re-enable ISRs
  373. return;
  374. }
  375. // If there is no current block, attempt to pop one from the buffer
  376. if (!current_block) {
  377. // Anything in the buffer?
  378. current_block = planner.get_current_block();
  379. if (current_block) {
  380. trapezoid_generator_reset();
  381. // Initialize Bresenham counters to 1/2 the ceiling
  382. counter_X = counter_Y = counter_Z = counter_E = -(current_block->step_event_count >> 1);
  383. #if ENABLED(MIXING_EXTRUDER)
  384. MIXING_STEPPERS_LOOP(i)
  385. counter_m[i] = -(current_block->mix_event_count[i] >> 1);
  386. #endif
  387. step_events_completed = 0;
  388. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  389. e_hit = 2; // Needed for the case an endstop is already triggered before the new move begins.
  390. // No 'change' can be detected.
  391. #endif
  392. #if ENABLED(Z_LATE_ENABLE)
  393. if (current_block->steps[Z_AXIS] > 0) {
  394. enable_Z();
  395. _NEXT_ISR(2000); // Run at slow speed - 1 KHz
  396. _ENABLE_ISRs(); // re-enable ISRs
  397. return;
  398. }
  399. #endif
  400. // #if ENABLED(ADVANCE)
  401. // e_steps[TOOL_E_INDEX] = 0;
  402. // #endif
  403. }
  404. else {
  405. _NEXT_ISR(2000); // Run at slow speed - 1 KHz
  406. _ENABLE_ISRs(); // re-enable ISRs
  407. return;
  408. }
  409. }
  410. // Update endstops state, if enabled
  411. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  412. if (e_hit && ENDSTOPS_ENABLED) {
  413. endstops.update();
  414. e_hit--;
  415. }
  416. #else
  417. if (ENDSTOPS_ENABLED) endstops.update();
  418. #endif
  419. // Take multiple steps per interrupt (For high speed moves)
  420. bool all_steps_done = false;
  421. for (uint8_t i = step_loops; i--;) {
  422. #if ENABLED(LIN_ADVANCE)
  423. counter_E += current_block->steps[E_AXIS];
  424. if (counter_E > 0) {
  425. counter_E -= current_block->step_event_count;
  426. #if DISABLED(MIXING_EXTRUDER)
  427. // Don't step E here for mixing extruder
  428. count_position[E_AXIS] += count_direction[E_AXIS];
  429. motor_direction(E_AXIS) ? --e_steps[TOOL_E_INDEX] : ++e_steps[TOOL_E_INDEX];
  430. #endif
  431. }
  432. #if ENABLED(MIXING_EXTRUDER)
  433. // Step mixing steppers proportionally
  434. const bool dir = motor_direction(E_AXIS);
  435. MIXING_STEPPERS_LOOP(j) {
  436. counter_m[j] += current_block->steps[E_AXIS];
  437. if (counter_m[j] > 0) {
  438. counter_m[j] -= current_block->mix_event_count[j];
  439. dir ? --e_steps[j] : ++e_steps[j];
  440. }
  441. }
  442. #endif
  443. #elif ENABLED(ADVANCE)
  444. // Always count the unified E axis
  445. counter_E += current_block->steps[E_AXIS];
  446. if (counter_E > 0) {
  447. counter_E -= current_block->step_event_count;
  448. #if DISABLED(MIXING_EXTRUDER)
  449. // Don't step E here for mixing extruder
  450. motor_direction(E_AXIS) ? --e_steps[TOOL_E_INDEX] : ++e_steps[TOOL_E_INDEX];
  451. #endif
  452. }
  453. #if ENABLED(MIXING_EXTRUDER)
  454. // Step mixing steppers proportionally
  455. const bool dir = motor_direction(E_AXIS);
  456. MIXING_STEPPERS_LOOP(j) {
  457. counter_m[j] += current_block->steps[E_AXIS];
  458. if (counter_m[j] > 0) {
  459. counter_m[j] -= current_block->mix_event_count[j];
  460. dir ? --e_steps[j] : ++e_steps[j];
  461. }
  462. }
  463. #endif // MIXING_EXTRUDER
  464. #endif // ADVANCE or LIN_ADVANCE
  465. #define _COUNTER(AXIS) counter_## AXIS
  466. #define _APPLY_STEP(AXIS) AXIS ##_APPLY_STEP
  467. #define _INVERT_STEP_PIN(AXIS) INVERT_## AXIS ##_STEP_PIN
  468. // Advance the Bresenham counter; start a pulse if the axis needs a step
  469. #define PULSE_START(AXIS) \
  470. _COUNTER(AXIS) += current_block->steps[_AXIS(AXIS)]; \
  471. if (_COUNTER(AXIS) > 0) { _APPLY_STEP(AXIS)(!_INVERT_STEP_PIN(AXIS),0); }
  472. // Stop an active pulse, reset the Bresenham counter, update the position
  473. #define PULSE_STOP(AXIS) \
  474. if (_COUNTER(AXIS) > 0) { \
  475. _COUNTER(AXIS) -= current_block->step_event_count; \
  476. count_position[_AXIS(AXIS)] += count_direction[_AXIS(AXIS)]; \
  477. _APPLY_STEP(AXIS)(_INVERT_STEP_PIN(AXIS),0); \
  478. }
  479. /**
  480. * Estimate the number of cycles that the stepper logic already takes
  481. * up between the start and stop of the X stepper pulse.
  482. *
  483. * Currently this uses very modest estimates of around 5 cycles.
  484. * True values may be derived by careful testing.
  485. *
  486. * Once any delay is added, the cost of the delay code itself
  487. * may be subtracted from this value to get a more accurate delay.
  488. * Delays under 20 cycles (1.25µs) will be very accurate, using NOPs.
  489. * Longer delays use a loop. The resolution is 8 cycles.
  490. */
  491. #if HAS_X_STEP
  492. #define _CYCLE_APPROX_1 5
  493. #else
  494. #define _CYCLE_APPROX_1 0
  495. #endif
  496. #if ENABLED(X_DUAL_STEPPER_DRIVERS)
  497. #define _CYCLE_APPROX_2 _CYCLE_APPROX_1 + 4
  498. #else
  499. #define _CYCLE_APPROX_2 _CYCLE_APPROX_1
  500. #endif
  501. #if HAS_Y_STEP
  502. #define _CYCLE_APPROX_3 _CYCLE_APPROX_2 + 5
  503. #else
  504. #define _CYCLE_APPROX_3 _CYCLE_APPROX_2
  505. #endif
  506. #if ENABLED(Y_DUAL_STEPPER_DRIVERS)
  507. #define _CYCLE_APPROX_4 _CYCLE_APPROX_3 + 4
  508. #else
  509. #define _CYCLE_APPROX_4 _CYCLE_APPROX_3
  510. #endif
  511. #if HAS_Z_STEP
  512. #define _CYCLE_APPROX_5 _CYCLE_APPROX_4 + 5
  513. #else
  514. #define _CYCLE_APPROX_5 _CYCLE_APPROX_4
  515. #endif
  516. #if ENABLED(Z_DUAL_STEPPER_DRIVERS)
  517. #define _CYCLE_APPROX_6 _CYCLE_APPROX_5 + 4
  518. #else
  519. #define _CYCLE_APPROX_6 _CYCLE_APPROX_5
  520. #endif
  521. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  522. #if ENABLED(MIXING_EXTRUDER)
  523. #define _CYCLE_APPROX_7 _CYCLE_APPROX_6 + (MIXING_STEPPERS) * 6
  524. #else
  525. #define _CYCLE_APPROX_7 _CYCLE_APPROX_6 + 5
  526. #endif
  527. #else
  528. #define _CYCLE_APPROX_7 _CYCLE_APPROX_6
  529. #endif
  530. #define CYCLES_EATEN_XYZE _CYCLE_APPROX_7
  531. #define EXTRA_CYCLES_XYZE (STEP_PULSE_CYCLES - (CYCLES_EATEN_XYZE))
  532. /**
  533. * If a minimum pulse time was specified get the timer 0 value.
  534. *
  535. * TCNT0 has an 8x prescaler, so it increments every 8 cycles.
  536. * That's every 0.5µs on 16MHz and every 0.4µs on 20MHz.
  537. * 20 counts of TCNT0 -by itself- is a good pulse delay.
  538. * 10µs = 160 or 200 cycles.
  539. */
  540. #if EXTRA_CYCLES_XYZE > 20
  541. uint32_t pulse_start = TCNT0;
  542. #endif
  543. #if HAS_X_STEP
  544. PULSE_START(X);
  545. #endif
  546. #if HAS_Y_STEP
  547. PULSE_START(Y);
  548. #endif
  549. #if HAS_Z_STEP
  550. PULSE_START(Z);
  551. #endif
  552. // For non-advance use linear interpolation for E also
  553. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  554. #if ENABLED(MIXING_EXTRUDER)
  555. // Keep updating the single E axis
  556. counter_E += current_block->steps[E_AXIS];
  557. // Tick the counters used for this mix
  558. MIXING_STEPPERS_LOOP(j) {
  559. // Step mixing steppers (proportionally)
  560. counter_m[j] += current_block->steps[E_AXIS];
  561. // Step when the counter goes over zero
  562. if (counter_m[j] > 0) En_STEP_WRITE(j, !INVERT_E_STEP_PIN);
  563. }
  564. #else // !MIXING_EXTRUDER
  565. PULSE_START(E);
  566. #endif
  567. #endif // !ADVANCE && !LIN_ADVANCE
  568. // For minimum pulse time wait before stopping pulses
  569. #if EXTRA_CYCLES_XYZE > 20
  570. while (EXTRA_CYCLES_XYZE > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  571. pulse_start = TCNT0;
  572. #elif EXTRA_CYCLES_XYZE > 0
  573. DELAY_NOPS(EXTRA_CYCLES_XYZE);
  574. #endif
  575. #if HAS_X_STEP
  576. PULSE_STOP(X);
  577. #endif
  578. #if HAS_Y_STEP
  579. PULSE_STOP(Y);
  580. #endif
  581. #if HAS_Z_STEP
  582. PULSE_STOP(Z);
  583. #endif
  584. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  585. #if ENABLED(MIXING_EXTRUDER)
  586. // Always step the single E axis
  587. if (counter_E > 0) {
  588. counter_E -= current_block->step_event_count;
  589. count_position[E_AXIS] += count_direction[E_AXIS];
  590. }
  591. MIXING_STEPPERS_LOOP(j) {
  592. if (counter_m[j] > 0) {
  593. counter_m[j] -= current_block->mix_event_count[j];
  594. En_STEP_WRITE(j, INVERT_E_STEP_PIN);
  595. }
  596. }
  597. #else // !MIXING_EXTRUDER
  598. PULSE_STOP(E);
  599. #endif
  600. #endif // !ADVANCE && !LIN_ADVANCE
  601. if (++step_events_completed >= current_block->step_event_count) {
  602. all_steps_done = true;
  603. break;
  604. }
  605. // For minimum pulse time wait after stopping pulses also
  606. #if EXTRA_CYCLES_XYZE > 20
  607. if (i) while (EXTRA_CYCLES_XYZE > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  608. #elif EXTRA_CYCLES_XYZE > 0
  609. if (i) DELAY_NOPS(EXTRA_CYCLES_XYZE);
  610. #endif
  611. } // steps_loop
  612. #if ENABLED(LIN_ADVANCE)
  613. if (current_block->use_advance_lead) {
  614. const int delta_adv_steps = current_estep_rate[TOOL_E_INDEX] - current_adv_steps[TOOL_E_INDEX];
  615. current_adv_steps[TOOL_E_INDEX] += delta_adv_steps;
  616. #if ENABLED(MIXING_EXTRUDER)
  617. // Mixing extruders apply advance lead proportionally
  618. MIXING_STEPPERS_LOOP(j)
  619. e_steps[j] += delta_adv_steps * current_block->step_event_count / current_block->mix_event_count[j];
  620. #else
  621. // For most extruders, advance the single E stepper
  622. e_steps[TOOL_E_INDEX] += delta_adv_steps;
  623. #endif
  624. }
  625. #endif
  626. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  627. // If we have esteps to execute, fire the next advance_isr "now"
  628. if (e_steps[TOOL_E_INDEX]) nextAdvanceISR = 0;
  629. #endif
  630. // Calculate new timer value
  631. if (step_events_completed <= (uint32_t)current_block->accelerate_until) {
  632. MultiU24X32toH16(acc_step_rate, acceleration_time, current_block->acceleration_rate);
  633. acc_step_rate += current_block->initial_rate;
  634. // upper limit
  635. NOMORE(acc_step_rate, current_block->nominal_rate);
  636. // step_rate to timer interval
  637. const uint16_t timer = calc_timer(acc_step_rate);
  638. SPLIT(timer); // split step into multiple ISRs if larger than ENDSTOP_NOMINAL_OCR_VAL
  639. _NEXT_ISR(ocr_val);
  640. acceleration_time += timer;
  641. #if ENABLED(LIN_ADVANCE)
  642. if (current_block->use_advance_lead) {
  643. #if ENABLED(MIXING_EXTRUDER)
  644. MIXING_STEPPERS_LOOP(j)
  645. current_estep_rate[j] = ((uint32_t)acc_step_rate * current_block->abs_adv_steps_multiplier8 * current_block->step_event_count / current_block->mix_event_count[j]) >> 17;
  646. #else
  647. current_estep_rate[TOOL_E_INDEX] = ((uint32_t)acc_step_rate * current_block->abs_adv_steps_multiplier8) >> 17;
  648. #endif
  649. }
  650. #elif ENABLED(ADVANCE)
  651. advance += advance_rate * step_loops;
  652. //NOLESS(advance, current_block->advance);
  653. const long advance_whole = advance >> 8,
  654. advance_factor = advance_whole - old_advance;
  655. // Do E steps + advance steps
  656. #if ENABLED(MIXING_EXTRUDER)
  657. // ...for mixing steppers proportionally
  658. MIXING_STEPPERS_LOOP(j)
  659. e_steps[j] += advance_factor * current_block->step_event_count / current_block->mix_event_count[j];
  660. #else
  661. // ...for the active extruder
  662. e_steps[TOOL_E_INDEX] += advance_factor;
  663. #endif
  664. old_advance = advance_whole;
  665. #endif // ADVANCE or LIN_ADVANCE
  666. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  667. eISR_Rate = adv_rate(e_steps[TOOL_E_INDEX], timer, step_loops);
  668. #endif
  669. }
  670. else if (step_events_completed > (uint32_t)current_block->decelerate_after) {
  671. uint16_t step_rate;
  672. MultiU24X32toH16(step_rate, deceleration_time, current_block->acceleration_rate);
  673. if (step_rate < acc_step_rate) { // Still decelerating?
  674. step_rate = acc_step_rate - step_rate;
  675. NOLESS(step_rate, current_block->final_rate);
  676. }
  677. else
  678. step_rate = current_block->final_rate;
  679. // step_rate to timer interval
  680. const uint16_t timer = calc_timer(step_rate);
  681. SPLIT(timer); // split step into multiple ISRs if larger than ENDSTOP_NOMINAL_OCR_VAL
  682. _NEXT_ISR(ocr_val);
  683. deceleration_time += timer;
  684. #if ENABLED(LIN_ADVANCE)
  685. if (current_block->use_advance_lead) {
  686. #if ENABLED(MIXING_EXTRUDER)
  687. MIXING_STEPPERS_LOOP(j)
  688. current_estep_rate[j] = ((uint32_t)step_rate * current_block->abs_adv_steps_multiplier8 * current_block->step_event_count / current_block->mix_event_count[j]) >> 17;
  689. #else
  690. current_estep_rate[TOOL_E_INDEX] = ((uint32_t)step_rate * current_block->abs_adv_steps_multiplier8) >> 17;
  691. #endif
  692. }
  693. #elif ENABLED(ADVANCE)
  694. advance -= advance_rate * step_loops;
  695. NOLESS(advance, final_advance);
  696. // Do E steps + advance steps
  697. const long advance_whole = advance >> 8,
  698. advance_factor = advance_whole - old_advance;
  699. #if ENABLED(MIXING_EXTRUDER)
  700. MIXING_STEPPERS_LOOP(j)
  701. e_steps[j] += advance_factor * current_block->step_event_count / current_block->mix_event_count[j];
  702. #else
  703. e_steps[TOOL_E_INDEX] += advance_factor;
  704. #endif
  705. old_advance = advance_whole;
  706. #endif // ADVANCE or LIN_ADVANCE
  707. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  708. eISR_Rate = adv_rate(e_steps[TOOL_E_INDEX], timer, step_loops);
  709. #endif
  710. }
  711. else {
  712. #if ENABLED(LIN_ADVANCE)
  713. if (current_block->use_advance_lead)
  714. current_estep_rate[TOOL_E_INDEX] = final_estep_rate;
  715. eISR_Rate = adv_rate(e_steps[TOOL_E_INDEX], OCR1A_nominal, step_loops_nominal);
  716. #endif
  717. SPLIT(OCR1A_nominal); // split step into multiple ISRs if larger than ENDSTOP_NOMINAL_OCR_VAL
  718. _NEXT_ISR(ocr_val);
  719. // ensure we're running at the correct step rate, even if we just came off an acceleration
  720. step_loops = step_loops_nominal;
  721. }
  722. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  723. NOLESS(OCR1A, TCNT1 + 16);
  724. #endif
  725. // If current block is finished, reset pointer
  726. if (all_steps_done) {
  727. current_block = NULL;
  728. planner.discard_current_block();
  729. }
  730. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  731. _ENABLE_ISRs(); // re-enable ISRs
  732. #endif
  733. }
  734. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  735. #define CYCLES_EATEN_E (E_STEPPERS * 5)
  736. #define EXTRA_CYCLES_E (STEP_PULSE_CYCLES - (CYCLES_EATEN_E))
  737. // Timer interrupt for E. e_steps is set in the main routine;
  738. void Stepper::advance_isr() {
  739. nextAdvanceISR = eISR_Rate;
  740. #if ENABLED(MK2_MULTIPLEXER)
  741. // Even-numbered steppers are reversed
  742. #define SET_E_STEP_DIR(INDEX) \
  743. if (e_steps[INDEX]) E## INDEX ##_DIR_WRITE(e_steps[INDEX] < 0 ? !INVERT_E## INDEX ##_DIR ^ TEST(INDEX, 0) : INVERT_E## INDEX ##_DIR ^ TEST(INDEX, 0))
  744. #else
  745. #define SET_E_STEP_DIR(INDEX) \
  746. if (e_steps[INDEX]) E## INDEX ##_DIR_WRITE(e_steps[INDEX] < 0 ? INVERT_E## INDEX ##_DIR : !INVERT_E## INDEX ##_DIR)
  747. #endif
  748. #define START_E_PULSE(INDEX) \
  749. if (e_steps[INDEX]) E## INDEX ##_STEP_WRITE(!INVERT_E_STEP_PIN)
  750. #define STOP_E_PULSE(INDEX) \
  751. if (e_steps[INDEX]) { \
  752. e_steps[INDEX] < 0 ? ++e_steps[INDEX] : --e_steps[INDEX]; \
  753. E## INDEX ##_STEP_WRITE(INVERT_E_STEP_PIN); \
  754. }
  755. SET_E_STEP_DIR(0);
  756. #if E_STEPPERS > 1
  757. SET_E_STEP_DIR(1);
  758. #if E_STEPPERS > 2
  759. SET_E_STEP_DIR(2);
  760. #if E_STEPPERS > 3
  761. SET_E_STEP_DIR(3);
  762. #if E_STEPPERS > 4
  763. SET_E_STEP_DIR(4);
  764. #endif
  765. #endif
  766. #endif
  767. #endif
  768. // Step all E steppers that have steps
  769. for (uint8_t i = step_loops; i--;) {
  770. #if EXTRA_CYCLES_E > 20
  771. uint32_t pulse_start = TCNT0;
  772. #endif
  773. START_E_PULSE(0);
  774. #if E_STEPPERS > 1
  775. START_E_PULSE(1);
  776. #if E_STEPPERS > 2
  777. START_E_PULSE(2);
  778. #if E_STEPPERS > 3
  779. START_E_PULSE(3);
  780. #if E_STEPPERS > 4
  781. START_E_PULSE(4);
  782. #endif
  783. #endif
  784. #endif
  785. #endif
  786. // For minimum pulse time wait before stopping pulses
  787. #if EXTRA_CYCLES_E > 20
  788. while (EXTRA_CYCLES_E > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  789. pulse_start = TCNT0;
  790. #elif EXTRA_CYCLES_E > 0
  791. DELAY_NOPS(EXTRA_CYCLES_E);
  792. #endif
  793. STOP_E_PULSE(0);
  794. #if E_STEPPERS > 1
  795. STOP_E_PULSE(1);
  796. #if E_STEPPERS > 2
  797. STOP_E_PULSE(2);
  798. #if E_STEPPERS > 3
  799. STOP_E_PULSE(3);
  800. #if E_STEPPERS > 4
  801. STOP_E_PULSE(4);
  802. #endif
  803. #endif
  804. #endif
  805. #endif
  806. // For minimum pulse time wait before looping
  807. #if EXTRA_CYCLES_E > 20
  808. if (i) while (EXTRA_CYCLES_E > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  809. #elif EXTRA_CYCLES_E > 0
  810. if (i) DELAY_NOPS(EXTRA_CYCLES_E);
  811. #endif
  812. } // steps_loop
  813. }
  814. void Stepper::advance_isr_scheduler() {
  815. // Disable Timer0 ISRs and enable global ISR again to capture UART events (incoming chars)
  816. CBI(TIMSK0, OCIE0B); // Temperature ISR
  817. DISABLE_STEPPER_DRIVER_INTERRUPT();
  818. sei();
  819. // Run main stepping ISR if flagged
  820. if (!nextMainISR) isr();
  821. // Run Advance stepping ISR if flagged
  822. if (!nextAdvanceISR) advance_isr();
  823. // Is the next advance ISR scheduled before the next main ISR?
  824. if (nextAdvanceISR <= nextMainISR) {
  825. // Set up the next interrupt
  826. OCR1A = nextAdvanceISR;
  827. // New interval for the next main ISR
  828. if (nextMainISR) nextMainISR -= nextAdvanceISR;
  829. // Will call Stepper::advance_isr on the next interrupt
  830. nextAdvanceISR = 0;
  831. }
  832. else {
  833. // The next main ISR comes first
  834. OCR1A = nextMainISR;
  835. // New interval for the next advance ISR, if any
  836. if (nextAdvanceISR && nextAdvanceISR != ADV_NEVER)
  837. nextAdvanceISR -= nextMainISR;
  838. // Will call Stepper::isr on the next interrupt
  839. nextMainISR = 0;
  840. }
  841. // Don't run the ISR faster than possible
  842. NOLESS(OCR1A, TCNT1 + 16);
  843. // Restore original ISR settings
  844. _ENABLE_ISRs();
  845. }
  846. #endif // ADVANCE or LIN_ADVANCE
  847. void Stepper::init() {
  848. // Init Digipot Motor Current
  849. #if HAS_DIGIPOTSS || HAS_MOTOR_CURRENT_PWM
  850. digipot_init();
  851. #endif
  852. // Init Microstepping Pins
  853. #if HAS_MICROSTEPS
  854. microstep_init();
  855. #endif
  856. // Init TMC Steppers
  857. #if ENABLED(HAVE_TMCDRIVER)
  858. tmc_init();
  859. #endif
  860. // Init TMC2130 Steppers
  861. #if ENABLED(HAVE_TMC2130)
  862. tmc2130_init();
  863. #endif
  864. // Init L6470 Steppers
  865. #if ENABLED(HAVE_L6470DRIVER)
  866. L6470_init();
  867. #endif
  868. // Init Dir Pins
  869. #if HAS_X_DIR
  870. X_DIR_INIT;
  871. #endif
  872. #if HAS_X2_DIR
  873. X2_DIR_INIT;
  874. #endif
  875. #if HAS_Y_DIR
  876. Y_DIR_INIT;
  877. #if ENABLED(Y_DUAL_STEPPER_DRIVERS) && HAS_Y2_DIR
  878. Y2_DIR_INIT;
  879. #endif
  880. #endif
  881. #if HAS_Z_DIR
  882. Z_DIR_INIT;
  883. #if ENABLED(Z_DUAL_STEPPER_DRIVERS) && HAS_Z2_DIR
  884. Z2_DIR_INIT;
  885. #endif
  886. #endif
  887. #if HAS_E0_DIR
  888. E0_DIR_INIT;
  889. #endif
  890. #if HAS_E1_DIR
  891. E1_DIR_INIT;
  892. #endif
  893. #if HAS_E2_DIR
  894. E2_DIR_INIT;
  895. #endif
  896. #if HAS_E3_DIR
  897. E3_DIR_INIT;
  898. #endif
  899. #if HAS_E4_DIR
  900. E4_DIR_INIT;
  901. #endif
  902. // Init Enable Pins - steppers default to disabled.
  903. #if HAS_X_ENABLE
  904. X_ENABLE_INIT;
  905. if (!X_ENABLE_ON) X_ENABLE_WRITE(HIGH);
  906. #if ENABLED(DUAL_X_CARRIAGE) && HAS_X2_ENABLE
  907. X2_ENABLE_INIT;
  908. if (!X_ENABLE_ON) X2_ENABLE_WRITE(HIGH);
  909. #endif
  910. #endif
  911. #if HAS_Y_ENABLE
  912. Y_ENABLE_INIT;
  913. if (!Y_ENABLE_ON) Y_ENABLE_WRITE(HIGH);
  914. #if ENABLED(Y_DUAL_STEPPER_DRIVERS) && HAS_Y2_ENABLE
  915. Y2_ENABLE_INIT;
  916. if (!Y_ENABLE_ON) Y2_ENABLE_WRITE(HIGH);
  917. #endif
  918. #endif
  919. #if HAS_Z_ENABLE
  920. Z_ENABLE_INIT;
  921. if (!Z_ENABLE_ON) Z_ENABLE_WRITE(HIGH);
  922. #if ENABLED(Z_DUAL_STEPPER_DRIVERS) && HAS_Z2_ENABLE
  923. Z2_ENABLE_INIT;
  924. if (!Z_ENABLE_ON) Z2_ENABLE_WRITE(HIGH);
  925. #endif
  926. #endif
  927. #if HAS_E0_ENABLE
  928. E0_ENABLE_INIT;
  929. if (!E_ENABLE_ON) E0_ENABLE_WRITE(HIGH);
  930. #endif
  931. #if HAS_E1_ENABLE
  932. E1_ENABLE_INIT;
  933. if (!E_ENABLE_ON) E1_ENABLE_WRITE(HIGH);
  934. #endif
  935. #if HAS_E2_ENABLE
  936. E2_ENABLE_INIT;
  937. if (!E_ENABLE_ON) E2_ENABLE_WRITE(HIGH);
  938. #endif
  939. #if HAS_E3_ENABLE
  940. E3_ENABLE_INIT;
  941. if (!E_ENABLE_ON) E3_ENABLE_WRITE(HIGH);
  942. #endif
  943. #if HAS_E4_ENABLE
  944. E4_ENABLE_INIT;
  945. if (!E_ENABLE_ON) E4_ENABLE_WRITE(HIGH);
  946. #endif
  947. // Init endstops and pullups
  948. endstops.init();
  949. #define _STEP_INIT(AXIS) AXIS ##_STEP_INIT
  950. #define _WRITE_STEP(AXIS, HIGHLOW) AXIS ##_STEP_WRITE(HIGHLOW)
  951. #define _DISABLE(AXIS) disable_## AXIS()
  952. #define AXIS_INIT(AXIS, PIN) \
  953. _STEP_INIT(AXIS); \
  954. _WRITE_STEP(AXIS, _INVERT_STEP_PIN(PIN)); \
  955. _DISABLE(AXIS)
  956. #define E_AXIS_INIT(NUM) AXIS_INIT(E## NUM, E)
  957. // Init Step Pins
  958. #if HAS_X_STEP
  959. #if ENABLED(X_DUAL_STEPPER_DRIVERS) || ENABLED(DUAL_X_CARRIAGE)
  960. X2_STEP_INIT;
  961. X2_STEP_WRITE(INVERT_X_STEP_PIN);
  962. #endif
  963. AXIS_INIT(X, X);
  964. #endif
  965. #if HAS_Y_STEP
  966. #if ENABLED(Y_DUAL_STEPPER_DRIVERS)
  967. Y2_STEP_INIT;
  968. Y2_STEP_WRITE(INVERT_Y_STEP_PIN);
  969. #endif
  970. AXIS_INIT(Y, Y);
  971. #endif
  972. #if HAS_Z_STEP
  973. #if ENABLED(Z_DUAL_STEPPER_DRIVERS)
  974. Z2_STEP_INIT;
  975. Z2_STEP_WRITE(INVERT_Z_STEP_PIN);
  976. #endif
  977. AXIS_INIT(Z, Z);
  978. #endif
  979. #if HAS_E0_STEP
  980. E_AXIS_INIT(0);
  981. #endif
  982. #if HAS_E1_STEP
  983. E_AXIS_INIT(1);
  984. #endif
  985. #if HAS_E2_STEP
  986. E_AXIS_INIT(2);
  987. #endif
  988. #if HAS_E3_STEP
  989. E_AXIS_INIT(3);
  990. #endif
  991. #if HAS_E4_STEP
  992. E_AXIS_INIT(4);
  993. #endif
  994. // waveform generation = 0100 = CTC
  995. SET_WGM(1, CTC_OCRnA);
  996. // output mode = 00 (disconnected)
  997. SET_COMA(1, NORMAL);
  998. // Set the timer pre-scaler
  999. // Generally we use a divider of 8, resulting in a 2MHz timer
  1000. // frequency on a 16MHz MCU. If you are going to change this, be
  1001. // sure to regenerate speed_lookuptable.h with
  1002. // create_speed_lookuptable.py
  1003. SET_CS(1, PRESCALER_8); // CS 2 = 1/8 prescaler
  1004. // Init Stepper ISR to 122 Hz for quick starting
  1005. OCR1A = 0x4000;
  1006. TCNT1 = 0;
  1007. ENABLE_STEPPER_DRIVER_INTERRUPT();
  1008. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  1009. for (uint8_t i = 0; i < COUNT(e_steps); i++) e_steps[i] = 0;
  1010. #if ENABLED(LIN_ADVANCE)
  1011. ZERO(current_adv_steps);
  1012. #endif
  1013. #endif // ADVANCE || LIN_ADVANCE
  1014. endstops.enable(true); // Start with endstops active. After homing they can be disabled
  1015. sei();
  1016. set_directions(); // Init directions to last_direction_bits = 0
  1017. }
  1018. /**
  1019. * Block until all buffered steps are executed
  1020. */
  1021. void Stepper::synchronize() { while (planner.blocks_queued()) idle(); }
  1022. /**
  1023. * Set the stepper positions directly in steps
  1024. *
  1025. * The input is based on the typical per-axis XYZ steps.
  1026. * For CORE machines XYZ needs to be translated to ABC.
  1027. *
  1028. * This allows get_axis_position_mm to correctly
  1029. * derive the current XYZ position later on.
  1030. */
  1031. void Stepper::set_position(const long &a, const long &b, const long &c, const long &e) {
  1032. synchronize(); // Bad to set stepper counts in the middle of a move
  1033. CRITICAL_SECTION_START;
  1034. #if CORE_IS_XY
  1035. // corexy positioning
  1036. // these equations follow the form of the dA and dB equations on http://www.corexy.com/theory.html
  1037. count_position[A_AXIS] = a + b;
  1038. count_position[B_AXIS] = CORESIGN(a - b);
  1039. count_position[Z_AXIS] = c;
  1040. #elif CORE_IS_XZ
  1041. // corexz planning
  1042. count_position[A_AXIS] = a + c;
  1043. count_position[Y_AXIS] = b;
  1044. count_position[C_AXIS] = CORESIGN(a - c);
  1045. #elif CORE_IS_YZ
  1046. // coreyz planning
  1047. count_position[X_AXIS] = a;
  1048. count_position[B_AXIS] = b + c;
  1049. count_position[C_AXIS] = CORESIGN(b - c);
  1050. #else
  1051. // default non-h-bot planning
  1052. count_position[X_AXIS] = a;
  1053. count_position[Y_AXIS] = b;
  1054. count_position[Z_AXIS] = c;
  1055. #endif
  1056. count_position[E_AXIS] = e;
  1057. CRITICAL_SECTION_END;
  1058. }
  1059. void Stepper::set_position(const AxisEnum &axis, const long &v) {
  1060. CRITICAL_SECTION_START;
  1061. count_position[axis] = v;
  1062. CRITICAL_SECTION_END;
  1063. }
  1064. void Stepper::set_e_position(const long &e) {
  1065. CRITICAL_SECTION_START;
  1066. count_position[E_AXIS] = e;
  1067. CRITICAL_SECTION_END;
  1068. }
  1069. /**
  1070. * Get a stepper's position in steps.
  1071. */
  1072. long Stepper::position(AxisEnum axis) {
  1073. CRITICAL_SECTION_START;
  1074. const long count_pos = count_position[axis];
  1075. CRITICAL_SECTION_END;
  1076. return count_pos;
  1077. }
  1078. /**
  1079. * Get an axis position according to stepper position(s)
  1080. * For CORE machines apply translation from ABC to XYZ.
  1081. */
  1082. float Stepper::get_axis_position_mm(AxisEnum axis) {
  1083. float axis_steps;
  1084. #if IS_CORE
  1085. // Requesting one of the "core" axes?
  1086. if (axis == CORE_AXIS_1 || axis == CORE_AXIS_2) {
  1087. CRITICAL_SECTION_START;
  1088. // ((a1+a2)+(a1-a2))/2 -> (a1+a2+a1-a2)/2 -> (a1+a1)/2 -> a1
  1089. // ((a1+a2)-(a1-a2))/2 -> (a1+a2-a1+a2)/2 -> (a2+a2)/2 -> a2
  1090. axis_steps = 0.5f * (
  1091. axis == CORE_AXIS_2 ? CORESIGN(count_position[CORE_AXIS_1] - count_position[CORE_AXIS_2])
  1092. : count_position[CORE_AXIS_1] + count_position[CORE_AXIS_2]
  1093. );
  1094. CRITICAL_SECTION_END;
  1095. }
  1096. else
  1097. axis_steps = position(axis);
  1098. #else
  1099. axis_steps = position(axis);
  1100. #endif
  1101. return axis_steps * planner.steps_to_mm[axis];
  1102. }
  1103. void Stepper::finish_and_disable() {
  1104. synchronize();
  1105. disable_all_steppers();
  1106. }
  1107. void Stepper::quick_stop() {
  1108. #if ENABLED(AUTO_BED_LEVELING_UBL) && ENABLED(ULTIPANEL)
  1109. if (!ubl_lcd_map_control)
  1110. cleaning_buffer_counter = 5000;
  1111. #else
  1112. cleaning_buffer_counter = 5000;
  1113. #endif
  1114. DISABLE_STEPPER_DRIVER_INTERRUPT();
  1115. while (planner.blocks_queued()) planner.discard_current_block();
  1116. current_block = NULL;
  1117. ENABLE_STEPPER_DRIVER_INTERRUPT();
  1118. #if ENABLED(ULTRA_LCD)
  1119. planner.clear_block_buffer_runtime();
  1120. #endif
  1121. }
  1122. void Stepper::endstop_triggered(AxisEnum axis) {
  1123. #if IS_CORE
  1124. endstops_trigsteps[axis] = 0.5f * (
  1125. axis == CORE_AXIS_2 ? CORESIGN(count_position[CORE_AXIS_1] - count_position[CORE_AXIS_2])
  1126. : count_position[CORE_AXIS_1] + count_position[CORE_AXIS_2]
  1127. );
  1128. #else // !COREXY && !COREXZ && !COREYZ
  1129. endstops_trigsteps[axis] = count_position[axis];
  1130. #endif // !COREXY && !COREXZ && !COREYZ
  1131. kill_current_block();
  1132. }
  1133. void Stepper::report_positions() {
  1134. CRITICAL_SECTION_START;
  1135. const long xpos = count_position[X_AXIS],
  1136. ypos = count_position[Y_AXIS],
  1137. zpos = count_position[Z_AXIS];
  1138. CRITICAL_SECTION_END;
  1139. #if CORE_IS_XY || CORE_IS_XZ || IS_SCARA
  1140. SERIAL_PROTOCOLPGM(MSG_COUNT_A);
  1141. #else
  1142. SERIAL_PROTOCOLPGM(MSG_COUNT_X);
  1143. #endif
  1144. SERIAL_PROTOCOL(xpos);
  1145. #if CORE_IS_XY || CORE_IS_YZ || IS_SCARA
  1146. SERIAL_PROTOCOLPGM(" B:");
  1147. #else
  1148. SERIAL_PROTOCOLPGM(" Y:");
  1149. #endif
  1150. SERIAL_PROTOCOL(ypos);
  1151. #if CORE_IS_XZ || CORE_IS_YZ
  1152. SERIAL_PROTOCOLPGM(" C:");
  1153. #else
  1154. SERIAL_PROTOCOLPGM(" Z:");
  1155. #endif
  1156. SERIAL_PROTOCOL(zpos);
  1157. SERIAL_EOL();
  1158. }
  1159. #if ENABLED(BABYSTEPPING)
  1160. #if ENABLED(DELTA)
  1161. #define CYCLES_EATEN_BABYSTEP (2 * 15)
  1162. #else
  1163. #define CYCLES_EATEN_BABYSTEP 0
  1164. #endif
  1165. #define EXTRA_CYCLES_BABYSTEP (STEP_PULSE_CYCLES - (CYCLES_EATEN_BABYSTEP))
  1166. #define _ENABLE(AXIS) enable_## AXIS()
  1167. #define _READ_DIR(AXIS) AXIS ##_DIR_READ
  1168. #define _INVERT_DIR(AXIS) INVERT_## AXIS ##_DIR
  1169. #define _APPLY_DIR(AXIS, INVERT) AXIS ##_APPLY_DIR(INVERT, true)
  1170. #if EXTRA_CYCLES_BABYSTEP > 20
  1171. #define _SAVE_START const uint32_t pulse_start = TCNT0
  1172. #define _PULSE_WAIT while (EXTRA_CYCLES_BABYSTEP > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  1173. #else
  1174. #define _SAVE_START NOOP
  1175. #if EXTRA_CYCLES_BABYSTEP > 0
  1176. #define _PULSE_WAIT DELAY_NOPS(EXTRA_CYCLES_BABYSTEP)
  1177. #elif STEP_PULSE_CYCLES > 0
  1178. #define _PULSE_WAIT NOOP
  1179. #elif ENABLED(DELTA)
  1180. #define _PULSE_WAIT delayMicroseconds(2);
  1181. #else
  1182. #define _PULSE_WAIT delayMicroseconds(4);
  1183. #endif
  1184. #endif
  1185. #define BABYSTEP_AXIS(AXIS, INVERT) { \
  1186. const uint8_t old_dir = _READ_DIR(AXIS); \
  1187. _ENABLE(AXIS); \
  1188. _SAVE_START; \
  1189. _APPLY_DIR(AXIS, _INVERT_DIR(AXIS)^direction^INVERT); \
  1190. _APPLY_STEP(AXIS)(!_INVERT_STEP_PIN(AXIS), true); \
  1191. _PULSE_WAIT; \
  1192. _APPLY_STEP(AXIS)(_INVERT_STEP_PIN(AXIS), true); \
  1193. _APPLY_DIR(AXIS, old_dir); \
  1194. }
  1195. // MUST ONLY BE CALLED BY AN ISR,
  1196. // No other ISR should ever interrupt this!
  1197. void Stepper::babystep(const AxisEnum axis, const bool direction) {
  1198. cli();
  1199. switch (axis) {
  1200. #if ENABLED(BABYSTEP_XY)
  1201. case X_AXIS:
  1202. BABYSTEP_AXIS(X, false);
  1203. break;
  1204. case Y_AXIS:
  1205. BABYSTEP_AXIS(Y, false);
  1206. break;
  1207. #endif
  1208. case Z_AXIS: {
  1209. #if DISABLED(DELTA)
  1210. BABYSTEP_AXIS(Z, BABYSTEP_INVERT_Z);
  1211. #else // DELTA
  1212. const bool z_direction = direction ^ BABYSTEP_INVERT_Z;
  1213. enable_X();
  1214. enable_Y();
  1215. enable_Z();
  1216. const uint8_t old_x_dir_pin = X_DIR_READ,
  1217. old_y_dir_pin = Y_DIR_READ,
  1218. old_z_dir_pin = Z_DIR_READ;
  1219. X_DIR_WRITE(INVERT_X_DIR ^ z_direction);
  1220. Y_DIR_WRITE(INVERT_Y_DIR ^ z_direction);
  1221. Z_DIR_WRITE(INVERT_Z_DIR ^ z_direction);
  1222. _SAVE_START;
  1223. X_STEP_WRITE(!INVERT_X_STEP_PIN);
  1224. Y_STEP_WRITE(!INVERT_Y_STEP_PIN);
  1225. Z_STEP_WRITE(!INVERT_Z_STEP_PIN);
  1226. _PULSE_WAIT;
  1227. X_STEP_WRITE(INVERT_X_STEP_PIN);
  1228. Y_STEP_WRITE(INVERT_Y_STEP_PIN);
  1229. Z_STEP_WRITE(INVERT_Z_STEP_PIN);
  1230. // Restore direction bits
  1231. X_DIR_WRITE(old_x_dir_pin);
  1232. Y_DIR_WRITE(old_y_dir_pin);
  1233. Z_DIR_WRITE(old_z_dir_pin);
  1234. #endif
  1235. } break;
  1236. default: break;
  1237. }
  1238. sei();
  1239. }
  1240. #endif // BABYSTEPPING
  1241. /**
  1242. * Software-controlled Stepper Motor Current
  1243. */
  1244. #if HAS_DIGIPOTSS
  1245. // From Arduino DigitalPotControl example
  1246. void Stepper::digitalPotWrite(const int16_t address, const int16_t value) {
  1247. WRITE(DIGIPOTSS_PIN, LOW); // Take the SS pin low to select the chip
  1248. SPI.transfer(address); // Send the address and value via SPI
  1249. SPI.transfer(value);
  1250. WRITE(DIGIPOTSS_PIN, HIGH); // Take the SS pin high to de-select the chip
  1251. //delay(10);
  1252. }
  1253. #endif // HAS_DIGIPOTSS
  1254. #if HAS_MOTOR_CURRENT_PWM
  1255. void Stepper::refresh_motor_power() {
  1256. for (uint8_t i = 0; i < COUNT(motor_current_setting); ++i) {
  1257. switch (i) {
  1258. #if PIN_EXISTS(MOTOR_CURRENT_PWM_XY)
  1259. case 0:
  1260. #endif
  1261. #if PIN_EXISTS(MOTOR_CURRENT_PWM_Z)
  1262. case 1:
  1263. #endif
  1264. #if PIN_EXISTS(MOTOR_CURRENT_PWM_E)
  1265. case 2:
  1266. #endif
  1267. digipot_current(i, motor_current_setting[i]);
  1268. default: break;
  1269. }
  1270. }
  1271. }
  1272. #endif // HAS_MOTOR_CURRENT_PWM
  1273. #if HAS_DIGIPOTSS || HAS_MOTOR_CURRENT_PWM
  1274. void Stepper::digipot_current(const uint8_t driver, const int current) {
  1275. #if HAS_DIGIPOTSS
  1276. const uint8_t digipot_ch[] = DIGIPOT_CHANNELS;
  1277. digitalPotWrite(digipot_ch[driver], current);
  1278. #elif HAS_MOTOR_CURRENT_PWM
  1279. if (WITHIN(driver, 0, 2))
  1280. motor_current_setting[driver] = current; // update motor_current_setting
  1281. #define _WRITE_CURRENT_PWM(P) analogWrite(MOTOR_CURRENT_PWM_## P ##_PIN, 255L * current / (MOTOR_CURRENT_PWM_RANGE))
  1282. switch (driver) {
  1283. #if PIN_EXISTS(MOTOR_CURRENT_PWM_XY)
  1284. case 0: _WRITE_CURRENT_PWM(XY); break;
  1285. #endif
  1286. #if PIN_EXISTS(MOTOR_CURRENT_PWM_Z)
  1287. case 1: _WRITE_CURRENT_PWM(Z); break;
  1288. #endif
  1289. #if PIN_EXISTS(MOTOR_CURRENT_PWM_E)
  1290. case 2: _WRITE_CURRENT_PWM(E); break;
  1291. #endif
  1292. }
  1293. #endif
  1294. }
  1295. void Stepper::digipot_init() {
  1296. #if HAS_DIGIPOTSS
  1297. static const uint8_t digipot_motor_current[] = DIGIPOT_MOTOR_CURRENT;
  1298. SPI.begin();
  1299. SET_OUTPUT(DIGIPOTSS_PIN);
  1300. for (uint8_t i = 0; i < COUNT(digipot_motor_current); i++) {
  1301. //digitalPotWrite(digipot_ch[i], digipot_motor_current[i]);
  1302. digipot_current(i, digipot_motor_current[i]);
  1303. }
  1304. #elif HAS_MOTOR_CURRENT_PWM
  1305. #if PIN_EXISTS(MOTOR_CURRENT_PWM_XY)
  1306. SET_OUTPUT(MOTOR_CURRENT_PWM_XY_PIN);
  1307. #endif
  1308. #if PIN_EXISTS(MOTOR_CURRENT_PWM_Z)
  1309. SET_OUTPUT(MOTOR_CURRENT_PWM_Z_PIN);
  1310. #endif
  1311. #if PIN_EXISTS(MOTOR_CURRENT_PWM_E)
  1312. SET_OUTPUT(MOTOR_CURRENT_PWM_E_PIN);
  1313. #endif
  1314. refresh_motor_power();
  1315. // Set Timer5 to 31khz so the PWM of the motor power is as constant as possible. (removes a buzzing noise)
  1316. SET_CS5(PRESCALER_1);
  1317. #endif
  1318. }
  1319. #endif
  1320. #if HAS_MICROSTEPS
  1321. /**
  1322. * Software-controlled Microstepping
  1323. */
  1324. void Stepper::microstep_init() {
  1325. SET_OUTPUT(X_MS1_PIN);
  1326. SET_OUTPUT(X_MS2_PIN);
  1327. #if HAS_Y_MICROSTEPS
  1328. SET_OUTPUT(Y_MS1_PIN);
  1329. SET_OUTPUT(Y_MS2_PIN);
  1330. #endif
  1331. #if HAS_Z_MICROSTEPS
  1332. SET_OUTPUT(Z_MS1_PIN);
  1333. SET_OUTPUT(Z_MS2_PIN);
  1334. #endif
  1335. #if HAS_E0_MICROSTEPS
  1336. SET_OUTPUT(E0_MS1_PIN);
  1337. SET_OUTPUT(E0_MS2_PIN);
  1338. #endif
  1339. #if HAS_E1_MICROSTEPS
  1340. SET_OUTPUT(E1_MS1_PIN);
  1341. SET_OUTPUT(E1_MS2_PIN);
  1342. #endif
  1343. #if HAS_E2_MICROSTEPS
  1344. SET_OUTPUT(E2_MS1_PIN);
  1345. SET_OUTPUT(E2_MS2_PIN);
  1346. #endif
  1347. #if HAS_E3_MICROSTEPS
  1348. SET_OUTPUT(E3_MS1_PIN);
  1349. SET_OUTPUT(E3_MS2_PIN);
  1350. #endif
  1351. #if HAS_E4_MICROSTEPS
  1352. SET_OUTPUT(E4_MS1_PIN);
  1353. SET_OUTPUT(E4_MS2_PIN);
  1354. #endif
  1355. static const uint8_t microstep_modes[] = MICROSTEP_MODES;
  1356. for (uint16_t i = 0; i < COUNT(microstep_modes); i++)
  1357. microstep_mode(i, microstep_modes[i]);
  1358. }
  1359. void Stepper::microstep_ms(const uint8_t driver, const int8_t ms1, const int8_t ms2) {
  1360. if (ms1 >= 0) switch (driver) {
  1361. case 0: WRITE(X_MS1_PIN, ms1); break;
  1362. #if HAS_Y_MICROSTEPS
  1363. case 1: WRITE(Y_MS1_PIN, ms1); break;
  1364. #endif
  1365. #if HAS_Z_MICROSTEPS
  1366. case 2: WRITE(Z_MS1_PIN, ms1); break;
  1367. #endif
  1368. #if HAS_E0_MICROSTEPS
  1369. case 3: WRITE(E0_MS1_PIN, ms1); break;
  1370. #endif
  1371. #if HAS_E1_MICROSTEPS
  1372. case 4: WRITE(E1_MS1_PIN, ms1); break;
  1373. #endif
  1374. #if HAS_E2_MICROSTEPS
  1375. case 5: WRITE(E2_MS1_PIN, ms1); break;
  1376. #endif
  1377. #if HAS_E3_MICROSTEPS
  1378. case 6: WRITE(E3_MS1_PIN, ms1); break;
  1379. #endif
  1380. #if HAS_E4_MICROSTEPS
  1381. case 7: WRITE(E4_MS1_PIN, ms1); break;
  1382. #endif
  1383. }
  1384. if (ms2 >= 0) switch (driver) {
  1385. case 0: WRITE(X_MS2_PIN, ms2); break;
  1386. #if HAS_Y_MICROSTEPS
  1387. case 1: WRITE(Y_MS2_PIN, ms2); break;
  1388. #endif
  1389. #if HAS_Z_MICROSTEPS
  1390. case 2: WRITE(Z_MS2_PIN, ms2); break;
  1391. #endif
  1392. #if HAS_E0_MICROSTEPS
  1393. case 3: WRITE(E0_MS2_PIN, ms2); break;
  1394. #endif
  1395. #if HAS_E1_MICROSTEPS
  1396. case 4: WRITE(E1_MS2_PIN, ms2); break;
  1397. #endif
  1398. #if HAS_E2_MICROSTEPS
  1399. case 5: WRITE(E2_MS2_PIN, ms2); break;
  1400. #endif
  1401. #if HAS_E3_MICROSTEPS
  1402. case 6: WRITE(E3_MS2_PIN, ms2); break;
  1403. #endif
  1404. #if HAS_E4_MICROSTEPS
  1405. case 7: WRITE(E4_MS2_PIN, ms2); break;
  1406. #endif
  1407. }
  1408. }
  1409. void Stepper::microstep_mode(const uint8_t driver, const uint8_t stepping_mode) {
  1410. switch (stepping_mode) {
  1411. case 1: microstep_ms(driver, MICROSTEP1); break;
  1412. case 2: microstep_ms(driver, MICROSTEP2); break;
  1413. case 4: microstep_ms(driver, MICROSTEP4); break;
  1414. case 8: microstep_ms(driver, MICROSTEP8); break;
  1415. case 16: microstep_ms(driver, MICROSTEP16); break;
  1416. }
  1417. }
  1418. void Stepper::microstep_readings() {
  1419. SERIAL_PROTOCOLLNPGM("MS1,MS2 Pins");
  1420. SERIAL_PROTOCOLPGM("X: ");
  1421. SERIAL_PROTOCOL(READ(X_MS1_PIN));
  1422. SERIAL_PROTOCOLLN(READ(X_MS2_PIN));
  1423. #if HAS_Y_MICROSTEPS
  1424. SERIAL_PROTOCOLPGM("Y: ");
  1425. SERIAL_PROTOCOL(READ(Y_MS1_PIN));
  1426. SERIAL_PROTOCOLLN(READ(Y_MS2_PIN));
  1427. #endif
  1428. #if HAS_Z_MICROSTEPS
  1429. SERIAL_PROTOCOLPGM("Z: ");
  1430. SERIAL_PROTOCOL(READ(Z_MS1_PIN));
  1431. SERIAL_PROTOCOLLN(READ(Z_MS2_PIN));
  1432. #endif
  1433. #if HAS_E0_MICROSTEPS
  1434. SERIAL_PROTOCOLPGM("E0: ");
  1435. SERIAL_PROTOCOL(READ(E0_MS1_PIN));
  1436. SERIAL_PROTOCOLLN(READ(E0_MS2_PIN));
  1437. #endif
  1438. #if HAS_E1_MICROSTEPS
  1439. SERIAL_PROTOCOLPGM("E1: ");
  1440. SERIAL_PROTOCOL(READ(E1_MS1_PIN));
  1441. SERIAL_PROTOCOLLN(READ(E1_MS2_PIN));
  1442. #endif
  1443. #if HAS_E2_MICROSTEPS
  1444. SERIAL_PROTOCOLPGM("E2: ");
  1445. SERIAL_PROTOCOL(READ(E2_MS1_PIN));
  1446. SERIAL_PROTOCOLLN(READ(E2_MS2_PIN));
  1447. #endif
  1448. #if HAS_E3_MICROSTEPS
  1449. SERIAL_PROTOCOLPGM("E3: ");
  1450. SERIAL_PROTOCOL(READ(E3_MS1_PIN));
  1451. SERIAL_PROTOCOLLN(READ(E3_MS2_PIN));
  1452. #endif
  1453. #if HAS_E4_MICROSTEPS
  1454. SERIAL_PROTOCOLPGM("E4: ");
  1455. SERIAL_PROTOCOL(READ(E4_MS1_PIN));
  1456. SERIAL_PROTOCOLLN(READ(E4_MS2_PIN));
  1457. #endif
  1458. }
  1459. #endif // HAS_MICROSTEPS