My Marlin configs for Fabrikator Mini and CTC i3 Pro B
Du kan inte välja fler än 25 ämnen Ämnen måste starta med en bokstav eller siffra, kan innehålla bindestreck ('-') och vara max 35 tecken långa.

stepper.cpp 49KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798991001011021031041051061071081091101111121131141151161171181191201211221231241251261271281291301311321331341351361371381391401411421431441451461471481491501511521531541551561571581591601611621631641651661671681691701711721731741751761771781791801811821831841851861871881891901911921931941951961971981992002012022032042052062072082092102112122132142152162172182192202212222232242252262272282292302312322332342352362372382392402412422432442452462472482492502512522532542552562572582592602612622632642652662672682692702712722732742752762772782792802812822832842852862872882892902912922932942952962972982993003013023033043053063073083093103113123133143153163173183193203213223233243253263273283293303313323333343353363373383393403413423433443453463473483493503513523533543553563573583593603613623633643653663673683693703713723733743753763773783793803813823833843853863873883893903913923933943953963973983994004014024034044054064074084094104114124134144154164174184194204214224234244254264274284294304314324334344354364374384394404414424434444454464474484494504514524534544554564574584594604614624634644654664674684694704714724734744754764774784794804814824834844854864874884894904914924934944954964974984995005015025035045055065075085095105115125135145155165175185195205215225235245255265275285295305315325335345355365375385395405415425435445455465475485495505515525535545555565575585595605615625635645655665675685695705715725735745755765775785795805815825835845855865875885895905915925935945955965975985996006016026036046056066076086096106116126136146156166176186196206216226236246256266276286296306316326336346356366376386396406416426436446456466476486496506516526536546556566576586596606616626636646656666676686696706716726736746756766776786796806816826836846856866876886896906916926936946956966976986997007017027037047057067077087097107117127137147157167177187197207217227237247257267277287297307317327337347357367377387397407417427437447457467477487497507517527537547557567577587597607617627637647657667677687697707717727737747757767777787797807817827837847857867877887897907917927937947957967977987998008018028038048058068078088098108118128138148158168178188198208218228238248258268278288298308318328338348358368378388398408418428438448458468478488498508518528538548558568578588598608618628638648658668678688698708718728738748758768778788798808818828838848858868878888898908918928938948958968978988999009019029039049059069079089099109119129139149159169179189199209219229239249259269279289299309319329339349359369379389399409419429439449459469479489499509519529539549559569579589599609619629639649659669679689699709719729739749759769779789799809819829839849859869879889899909919929939949959969979989991000100110021003100410051006100710081009101010111012101310141015101610171018101910201021102210231024102510261027102810291030103110321033103410351036103710381039104010411042104310441045104610471048104910501051105210531054105510561057105810591060106110621063106410651066106710681069107010711072107310741075107610771078107910801081108210831084108510861087108810891090109110921093109410951096109710981099110011011102110311041105110611071108110911101111111211131114111511161117111811191120112111221123112411251126112711281129113011311132113311341135113611371138113911401141114211431144114511461147114811491150115111521153115411551156115711581159116011611162116311641165116611671168116911701171117211731174117511761177117811791180118111821183118411851186118711881189119011911192119311941195119611971198119912001201120212031204120512061207120812091210121112121213121412151216121712181219122012211222122312241225122612271228122912301231123212331234123512361237123812391240124112421243124412451246124712481249125012511252125312541255125612571258125912601261126212631264126512661267126812691270127112721273127412751276127712781279128012811282128312841285128612871288128912901291129212931294129512961297129812991300130113021303130413051306130713081309131013111312131313141315131613171318131913201321132213231324132513261327132813291330133113321333133413351336133713381339134013411342134313441345134613471348134913501351135213531354135513561357135813591360136113621363136413651366136713681369137013711372137313741375137613771378137913801381138213831384138513861387138813891390139113921393139413951396139713981399140014011402140314041405140614071408140914101411141214131414141514161417141814191420142114221423142414251426142714281429143014311432143314341435143614371438143914401441144214431444144514461447144814491450145114521453145414551456145714581459146014611462146314641465146614671468146914701471147214731474147514761477147814791480148114821483148414851486148714881489149014911492149314941495149614971498149915001501150215031504150515061507150815091510151115121513151415151516151715181519152015211522152315241525152615271528152915301531153215331534153515361537153815391540154115421543154415451546154715481549155015511552155315541555155615571558155915601561156215631564156515661567156815691570157115721573157415751576157715781579158015811582158315841585158615871588158915901591159215931594159515961597159815991600160116021603160416051606160716081609161016111612161316141615161616171618161916201621162216231624162516261627162816291630163116321633163416351636163716381639164016411642164316441645164616471648164916501651165216531654165516561657165816591660166116621663166416651666166716681669167016711672167316741675167616771678167916801681168216831684168516861687168816891690169116921693169416951696169716981699170017011702
  1. /**
  2. * Marlin 3D Printer Firmware
  3. * Copyright (C) 2016 MarlinFirmware [https://github.com/MarlinFirmware/Marlin]
  4. *
  5. * Based on Sprinter and grbl.
  6. * Copyright (C) 2011 Camiel Gubbels / Erik van der Zalm
  7. *
  8. * This program is free software: you can redistribute it and/or modify
  9. * it under the terms of the GNU General Public License as published by
  10. * the Free Software Foundation, either version 3 of the License, or
  11. * (at your option) any later version.
  12. *
  13. * This program is distributed in the hope that it will be useful,
  14. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  15. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  16. * GNU General Public License for more details.
  17. *
  18. * You should have received a copy of the GNU General Public License
  19. * along with this program. If not, see <http://www.gnu.org/licenses/>.
  20. *
  21. */
  22. /**
  23. * stepper.cpp - A singleton object to execute motion plans using stepper motors
  24. * Marlin Firmware
  25. *
  26. * Derived from Grbl
  27. * Copyright (c) 2009-2011 Simen Svale Skogsrud
  28. *
  29. * Grbl is free software: you can redistribute it and/or modify
  30. * it under the terms of the GNU General Public License as published by
  31. * the Free Software Foundation, either version 3 of the License, or
  32. * (at your option) any later version.
  33. *
  34. * Grbl is distributed in the hope that it will be useful,
  35. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  36. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
  37. * GNU General Public License for more details.
  38. *
  39. * You should have received a copy of the GNU General Public License
  40. * along with Grbl. If not, see <http://www.gnu.org/licenses/>.
  41. */
  42. /* The timer calculations of this module informed by the 'RepRap cartesian firmware' by Zack Smith
  43. and Philipp Tiefenbacher. */
  44. #include "Marlin.h"
  45. #include "stepper.h"
  46. #include "endstops.h"
  47. #include "planner.h"
  48. #include "temperature.h"
  49. #include "ultralcd.h"
  50. #include "language.h"
  51. #include "cardreader.h"
  52. #include "speed_lookuptable.h"
  53. #if HAS_DIGIPOTSS
  54. #include <SPI.h>
  55. #endif
  56. Stepper stepper; // Singleton
  57. // public:
  58. block_t* Stepper::current_block = NULL; // A pointer to the block currently being traced
  59. #if ENABLED(ABORT_ON_ENDSTOP_HIT_FEATURE_ENABLED)
  60. bool Stepper::abort_on_endstop_hit = false;
  61. #endif
  62. #if ENABLED(Z_DUAL_ENDSTOPS)
  63. bool Stepper::performing_homing = false;
  64. #endif
  65. #if HAS_MOTOR_CURRENT_PWM
  66. uint32_t Stepper::motor_current_setting[3] = PWM_MOTOR_CURRENT;
  67. #endif
  68. // private:
  69. uint8_t Stepper::last_direction_bits = 0; // The next stepping-bits to be output
  70. uint16_t Stepper::cleaning_buffer_counter = 0;
  71. #if ENABLED(Z_DUAL_ENDSTOPS)
  72. bool Stepper::locked_z_motor = false;
  73. bool Stepper::locked_z2_motor = false;
  74. #endif
  75. long Stepper::counter_X = 0,
  76. Stepper::counter_Y = 0,
  77. Stepper::counter_Z = 0,
  78. Stepper::counter_E = 0;
  79. volatile uint32_t Stepper::step_events_completed = 0; // The number of step events executed in the current block
  80. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  81. constexpr uint16_t ADV_NEVER = 65535;
  82. uint16_t Stepper::nextMainISR = 0,
  83. Stepper::nextAdvanceISR = ADV_NEVER,
  84. Stepper::eISR_Rate = ADV_NEVER;
  85. #if ENABLED(LIN_ADVANCE)
  86. volatile int Stepper::e_steps[E_STEPPERS];
  87. int Stepper::final_estep_rate,
  88. Stepper::current_estep_rate[E_STEPPERS],
  89. Stepper::current_adv_steps[E_STEPPERS];
  90. #else
  91. long Stepper::e_steps[E_STEPPERS],
  92. Stepper::final_advance = 0,
  93. Stepper::old_advance = 0,
  94. Stepper::advance_rate,
  95. Stepper::advance;
  96. #endif
  97. /**
  98. * See https://github.com/MarlinFirmware/Marlin/issues/5699#issuecomment-309264382
  99. *
  100. * This fix isn't perfect and may lose steps - but better than locking up completely
  101. * in future the planner should slow down if advance stepping rate would be too high
  102. */
  103. FORCE_INLINE uint16_t adv_rate(const int steps, const uint16_t timer, const uint8_t loops) {
  104. if (steps) {
  105. const uint16_t rate = (timer * loops) / abs(steps);
  106. //return constrain(rate, 1, ADV_NEVER - 1)
  107. return rate ? rate : 1;
  108. }
  109. return ADV_NEVER;
  110. }
  111. #endif // ADVANCE || LIN_ADVANCE
  112. long Stepper::acceleration_time, Stepper::deceleration_time;
  113. volatile long Stepper::count_position[NUM_AXIS] = { 0 };
  114. volatile signed char Stepper::count_direction[NUM_AXIS] = { 1, 1, 1, 1 };
  115. #if ENABLED(MIXING_EXTRUDER)
  116. long Stepper::counter_m[MIXING_STEPPERS];
  117. #endif
  118. unsigned short Stepper::acc_step_rate; // needed for deceleration start point
  119. uint8_t Stepper::step_loops, Stepper::step_loops_nominal;
  120. unsigned short Stepper::OCR1A_nominal;
  121. volatile long Stepper::endstops_trigsteps[XYZ];
  122. #if ENABLED(X_DUAL_STEPPER_DRIVERS)
  123. #define X_APPLY_DIR(v,Q) do{ X_DIR_WRITE(v); X2_DIR_WRITE((v) != INVERT_X2_VS_X_DIR); }while(0)
  124. #define X_APPLY_STEP(v,Q) do{ X_STEP_WRITE(v); X2_STEP_WRITE(v); }while(0)
  125. #elif ENABLED(DUAL_X_CARRIAGE)
  126. #define X_APPLY_DIR(v,ALWAYS) \
  127. if (extruder_duplication_enabled || ALWAYS) { \
  128. X_DIR_WRITE(v); \
  129. X2_DIR_WRITE(v); \
  130. } \
  131. else { \
  132. if (current_block->active_extruder) X2_DIR_WRITE(v); else X_DIR_WRITE(v); \
  133. }
  134. #define X_APPLY_STEP(v,ALWAYS) \
  135. if (extruder_duplication_enabled || ALWAYS) { \
  136. X_STEP_WRITE(v); \
  137. X2_STEP_WRITE(v); \
  138. } \
  139. else { \
  140. if (current_block->active_extruder) X2_STEP_WRITE(v); else X_STEP_WRITE(v); \
  141. }
  142. #else
  143. #define X_APPLY_DIR(v,Q) X_DIR_WRITE(v)
  144. #define X_APPLY_STEP(v,Q) X_STEP_WRITE(v)
  145. #endif
  146. #if ENABLED(Y_DUAL_STEPPER_DRIVERS)
  147. #define Y_APPLY_DIR(v,Q) do{ Y_DIR_WRITE(v); Y2_DIR_WRITE((v) != INVERT_Y2_VS_Y_DIR); }while(0)
  148. #define Y_APPLY_STEP(v,Q) do{ Y_STEP_WRITE(v); Y2_STEP_WRITE(v); }while(0)
  149. #else
  150. #define Y_APPLY_DIR(v,Q) Y_DIR_WRITE(v)
  151. #define Y_APPLY_STEP(v,Q) Y_STEP_WRITE(v)
  152. #endif
  153. #if ENABLED(Z_DUAL_STEPPER_DRIVERS)
  154. #define Z_APPLY_DIR(v,Q) do{ Z_DIR_WRITE(v); Z2_DIR_WRITE(v); }while(0)
  155. #if ENABLED(Z_DUAL_ENDSTOPS)
  156. #define Z_APPLY_STEP(v,Q) \
  157. if (performing_homing) { \
  158. if (Z_HOME_DIR < 0) { \
  159. if (!(TEST(endstops.old_endstop_bits, Z_MIN) && (count_direction[Z_AXIS] < 0)) && !locked_z_motor) Z_STEP_WRITE(v); \
  160. if (!(TEST(endstops.old_endstop_bits, Z2_MIN) && (count_direction[Z_AXIS] < 0)) && !locked_z2_motor) Z2_STEP_WRITE(v); \
  161. } \
  162. else { \
  163. if (!(TEST(endstops.old_endstop_bits, Z_MAX) && (count_direction[Z_AXIS] > 0)) && !locked_z_motor) Z_STEP_WRITE(v); \
  164. if (!(TEST(endstops.old_endstop_bits, Z2_MAX) && (count_direction[Z_AXIS] > 0)) && !locked_z2_motor) Z2_STEP_WRITE(v); \
  165. } \
  166. } \
  167. else { \
  168. Z_STEP_WRITE(v); \
  169. Z2_STEP_WRITE(v); \
  170. }
  171. #else
  172. #define Z_APPLY_STEP(v,Q) do{ Z_STEP_WRITE(v); Z2_STEP_WRITE(v); }while(0)
  173. #endif
  174. #else
  175. #define Z_APPLY_DIR(v,Q) Z_DIR_WRITE(v)
  176. #define Z_APPLY_STEP(v,Q) Z_STEP_WRITE(v)
  177. #endif
  178. #if DISABLED(MIXING_EXTRUDER)
  179. #define E_APPLY_STEP(v,Q) E_STEP_WRITE(v)
  180. #endif
  181. // intRes = longIn1 * longIn2 >> 24
  182. // uses:
  183. // r26 to store 0
  184. // r27 to store bits 16-23 of the 48bit result. The top bit is used to round the two byte result.
  185. // note that the lower two bytes and the upper byte of the 48bit result are not calculated.
  186. // this can cause the result to be out by one as the lower bytes may cause carries into the upper ones.
  187. // B0 A0 are bits 24-39 and are the returned value
  188. // C1 B1 A1 is longIn1
  189. // D2 C2 B2 A2 is longIn2
  190. //
  191. #define MultiU24X32toH16(intRes, longIn1, longIn2) \
  192. asm volatile ( \
  193. "clr r26 \n\t" \
  194. "mul %A1, %B2 \n\t" \
  195. "mov r27, r1 \n\t" \
  196. "mul %B1, %C2 \n\t" \
  197. "movw %A0, r0 \n\t" \
  198. "mul %C1, %C2 \n\t" \
  199. "add %B0, r0 \n\t" \
  200. "mul %C1, %B2 \n\t" \
  201. "add %A0, r0 \n\t" \
  202. "adc %B0, r1 \n\t" \
  203. "mul %A1, %C2 \n\t" \
  204. "add r27, r0 \n\t" \
  205. "adc %A0, r1 \n\t" \
  206. "adc %B0, r26 \n\t" \
  207. "mul %B1, %B2 \n\t" \
  208. "add r27, r0 \n\t" \
  209. "adc %A0, r1 \n\t" \
  210. "adc %B0, r26 \n\t" \
  211. "mul %C1, %A2 \n\t" \
  212. "add r27, r0 \n\t" \
  213. "adc %A0, r1 \n\t" \
  214. "adc %B0, r26 \n\t" \
  215. "mul %B1, %A2 \n\t" \
  216. "add r27, r1 \n\t" \
  217. "adc %A0, r26 \n\t" \
  218. "adc %B0, r26 \n\t" \
  219. "lsr r27 \n\t" \
  220. "adc %A0, r26 \n\t" \
  221. "adc %B0, r26 \n\t" \
  222. "mul %D2, %A1 \n\t" \
  223. "add %A0, r0 \n\t" \
  224. "adc %B0, r1 \n\t" \
  225. "mul %D2, %B1 \n\t" \
  226. "add %B0, r0 \n\t" \
  227. "clr r1 \n\t" \
  228. : \
  229. "=&r" (intRes) \
  230. : \
  231. "d" (longIn1), \
  232. "d" (longIn2) \
  233. : \
  234. "r26" , "r27" \
  235. )
  236. // Some useful constants
  237. #define ENABLE_STEPPER_DRIVER_INTERRUPT() SBI(TIMSK1, OCIE1A)
  238. #define DISABLE_STEPPER_DRIVER_INTERRUPT() CBI(TIMSK1, OCIE1A)
  239. /**
  240. * __________________________
  241. * /| |\ _________________ ^
  242. * / | | \ /| |\ |
  243. * / | | \ / | | \ s
  244. * / | | | | | \ p
  245. * / | | | | | \ e
  246. * +-----+------------------------+---+--+---------------+----+ e
  247. * | BLOCK 1 | BLOCK 2 | d
  248. *
  249. * time ----->
  250. *
  251. * The trapezoid is the shape the speed curve over time. It starts at block->initial_rate, accelerates
  252. * first block->accelerate_until step_events_completed, then keeps going at constant speed until
  253. * step_events_completed reaches block->decelerate_after after which it decelerates until the trapezoid generator is reset.
  254. * The slope of acceleration is calculated using v = u + at where t is the accumulated timer values of the steps so far.
  255. */
  256. void Stepper::wake_up() {
  257. // TCNT1 = 0;
  258. ENABLE_STEPPER_DRIVER_INTERRUPT();
  259. }
  260. /**
  261. * Set the stepper direction of each axis
  262. *
  263. * COREXY: X_AXIS=A_AXIS and Y_AXIS=B_AXIS
  264. * COREXZ: X_AXIS=A_AXIS and Z_AXIS=C_AXIS
  265. * COREYZ: Y_AXIS=B_AXIS and Z_AXIS=C_AXIS
  266. */
  267. void Stepper::set_directions() {
  268. #define SET_STEP_DIR(AXIS) \
  269. if (motor_direction(AXIS ##_AXIS)) { \
  270. AXIS ##_APPLY_DIR(INVERT_## AXIS ##_DIR, false); \
  271. count_direction[AXIS ##_AXIS] = -1; \
  272. } \
  273. else { \
  274. AXIS ##_APPLY_DIR(!INVERT_## AXIS ##_DIR, false); \
  275. count_direction[AXIS ##_AXIS] = 1; \
  276. }
  277. #if HAS_X_DIR
  278. SET_STEP_DIR(X); // A
  279. #endif
  280. #if HAS_Y_DIR
  281. SET_STEP_DIR(Y); // B
  282. #endif
  283. #if HAS_Z_DIR
  284. SET_STEP_DIR(Z); // C
  285. #endif
  286. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  287. if (motor_direction(E_AXIS)) {
  288. REV_E_DIR();
  289. count_direction[E_AXIS] = -1;
  290. }
  291. else {
  292. NORM_E_DIR();
  293. count_direction[E_AXIS] = 1;
  294. }
  295. #endif // !ADVANCE && !LIN_ADVANCE
  296. }
  297. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  298. extern volatile uint8_t e_hit;
  299. #endif
  300. /**
  301. * Stepper Driver Interrupt
  302. *
  303. * Directly pulses the stepper motors at high frequency.
  304. * Timer 1 runs at a base frequency of 2MHz, with this ISR using OCR1A compare mode.
  305. *
  306. * OCR1A Frequency
  307. * 1 2 MHz
  308. * 50 40 KHz
  309. * 100 20 KHz - capped max rate
  310. * 200 10 KHz - nominal max rate
  311. * 2000 1 KHz - sleep rate
  312. * 4000 500 Hz - init rate
  313. */
  314. ISR(TIMER1_COMPA_vect) {
  315. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  316. Stepper::advance_isr_scheduler();
  317. #else
  318. Stepper::isr();
  319. #endif
  320. }
  321. #define _ENABLE_ISRs() do { cli(); if (thermalManager.in_temp_isr) CBI(TIMSK0, OCIE0B); else SBI(TIMSK0, OCIE0B); ENABLE_STEPPER_DRIVER_INTERRUPT(); } while(0)
  322. void Stepper::isr() {
  323. uint16_t ocr_val;
  324. #define ENDSTOP_NOMINAL_OCR_VAL 3000 // check endstops every 1.5ms to guarantee two stepper ISRs within 5ms for BLTouch
  325. #define OCR_VAL_TOLERANCE 1000 // First max delay is 2.0ms, last min delay is 0.5ms, all others 1.5ms
  326. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  327. // Disable Timer0 ISRs and enable global ISR again to capture UART events (incoming chars)
  328. CBI(TIMSK0, OCIE0B); // Temperature ISR
  329. DISABLE_STEPPER_DRIVER_INTERRUPT();
  330. sei();
  331. #endif
  332. #define _SPLIT(L) (ocr_val = (uint16_t)L)
  333. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  334. #define SPLIT(L) _SPLIT(L)
  335. #else // sample endstops in between step pulses
  336. static uint32_t step_remaining = 0;
  337. #define SPLIT(L) do { \
  338. _SPLIT(L); \
  339. if (ENDSTOPS_ENABLED && L > ENDSTOP_NOMINAL_OCR_VAL) { \
  340. const uint16_t remainder = (uint16_t)L % (ENDSTOP_NOMINAL_OCR_VAL); \
  341. ocr_val = (remainder < OCR_VAL_TOLERANCE) ? ENDSTOP_NOMINAL_OCR_VAL + remainder : ENDSTOP_NOMINAL_OCR_VAL; \
  342. step_remaining = (uint16_t)L - ocr_val; \
  343. } \
  344. }while(0)
  345. if (step_remaining && ENDSTOPS_ENABLED) { // Just check endstops - not yet time for a step
  346. endstops.update();
  347. if (step_remaining > ENDSTOP_NOMINAL_OCR_VAL) {
  348. step_remaining -= ENDSTOP_NOMINAL_OCR_VAL;
  349. ocr_val = ENDSTOP_NOMINAL_OCR_VAL;
  350. }
  351. else {
  352. ocr_val = step_remaining;
  353. step_remaining = 0; // last one before the ISR that does the step
  354. }
  355. _NEXT_ISR(ocr_val);
  356. NOLESS(OCR1A, TCNT1 + 16);
  357. _ENABLE_ISRs(); // re-enable ISRs
  358. return;
  359. }
  360. #endif
  361. if (cleaning_buffer_counter) {
  362. --cleaning_buffer_counter;
  363. current_block = NULL;
  364. planner.discard_current_block();
  365. #ifdef SD_FINISHED_RELEASECOMMAND
  366. if (!cleaning_buffer_counter && (SD_FINISHED_STEPPERRELEASE)) enqueue_and_echo_commands_P(PSTR(SD_FINISHED_RELEASECOMMAND));
  367. #endif
  368. _NEXT_ISR(200); // Run at max speed - 10 KHz
  369. _ENABLE_ISRs(); // re-enable ISRs
  370. return;
  371. }
  372. // If there is no current block, attempt to pop one from the buffer
  373. if (!current_block) {
  374. // Anything in the buffer?
  375. current_block = planner.get_current_block();
  376. if (current_block) {
  377. trapezoid_generator_reset();
  378. // Initialize Bresenham counters to 1/2 the ceiling
  379. counter_X = counter_Y = counter_Z = counter_E = -(current_block->step_event_count >> 1);
  380. #if ENABLED(MIXING_EXTRUDER)
  381. MIXING_STEPPERS_LOOP(i)
  382. counter_m[i] = -(current_block->mix_event_count[i] >> 1);
  383. #endif
  384. step_events_completed = 0;
  385. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  386. e_hit = 2; // Needed for the case an endstop is already triggered before the new move begins.
  387. // No 'change' can be detected.
  388. #endif
  389. #if ENABLED(Z_LATE_ENABLE)
  390. if (current_block->steps[Z_AXIS] > 0) {
  391. enable_Z();
  392. _NEXT_ISR(2000); // Run at slow speed - 1 KHz
  393. _ENABLE_ISRs(); // re-enable ISRs
  394. return;
  395. }
  396. #endif
  397. // #if ENABLED(ADVANCE)
  398. // e_steps[TOOL_E_INDEX] = 0;
  399. // #endif
  400. }
  401. else {
  402. _NEXT_ISR(2000); // Run at slow speed - 1 KHz
  403. _ENABLE_ISRs(); // re-enable ISRs
  404. return;
  405. }
  406. }
  407. // Update endstops state, if enabled
  408. #if ENABLED(ENDSTOP_INTERRUPTS_FEATURE)
  409. if (e_hit && ENDSTOPS_ENABLED) {
  410. endstops.update();
  411. e_hit--;
  412. }
  413. #else
  414. if (ENDSTOPS_ENABLED) endstops.update();
  415. #endif
  416. // Take multiple steps per interrupt (For high speed moves)
  417. bool all_steps_done = false;
  418. for (uint8_t i = step_loops; i--;) {
  419. #if ENABLED(LIN_ADVANCE)
  420. counter_E += current_block->steps[E_AXIS];
  421. if (counter_E > 0) {
  422. counter_E -= current_block->step_event_count;
  423. #if DISABLED(MIXING_EXTRUDER)
  424. // Don't step E here for mixing extruder
  425. count_position[E_AXIS] += count_direction[E_AXIS];
  426. motor_direction(E_AXIS) ? --e_steps[TOOL_E_INDEX] : ++e_steps[TOOL_E_INDEX];
  427. #endif
  428. }
  429. #if ENABLED(MIXING_EXTRUDER)
  430. // Step mixing steppers proportionally
  431. const bool dir = motor_direction(E_AXIS);
  432. MIXING_STEPPERS_LOOP(j) {
  433. counter_m[j] += current_block->steps[E_AXIS];
  434. if (counter_m[j] > 0) {
  435. counter_m[j] -= current_block->mix_event_count[j];
  436. dir ? --e_steps[j] : ++e_steps[j];
  437. }
  438. }
  439. #endif
  440. #elif ENABLED(ADVANCE)
  441. // Always count the unified E axis
  442. counter_E += current_block->steps[E_AXIS];
  443. if (counter_E > 0) {
  444. counter_E -= current_block->step_event_count;
  445. #if DISABLED(MIXING_EXTRUDER)
  446. // Don't step E here for mixing extruder
  447. motor_direction(E_AXIS) ? --e_steps[TOOL_E_INDEX] : ++e_steps[TOOL_E_INDEX];
  448. #endif
  449. }
  450. #if ENABLED(MIXING_EXTRUDER)
  451. // Step mixing steppers proportionally
  452. const bool dir = motor_direction(E_AXIS);
  453. MIXING_STEPPERS_LOOP(j) {
  454. counter_m[j] += current_block->steps[E_AXIS];
  455. if (counter_m[j] > 0) {
  456. counter_m[j] -= current_block->mix_event_count[j];
  457. dir ? --e_steps[j] : ++e_steps[j];
  458. }
  459. }
  460. #endif // MIXING_EXTRUDER
  461. #endif // ADVANCE or LIN_ADVANCE
  462. #define _COUNTER(AXIS) counter_## AXIS
  463. #define _APPLY_STEP(AXIS) AXIS ##_APPLY_STEP
  464. #define _INVERT_STEP_PIN(AXIS) INVERT_## AXIS ##_STEP_PIN
  465. // Advance the Bresenham counter; start a pulse if the axis needs a step
  466. #define PULSE_START(AXIS) \
  467. _COUNTER(AXIS) += current_block->steps[_AXIS(AXIS)]; \
  468. if (_COUNTER(AXIS) > 0) { _APPLY_STEP(AXIS)(!_INVERT_STEP_PIN(AXIS),0); }
  469. // Stop an active pulse, reset the Bresenham counter, update the position
  470. #define PULSE_STOP(AXIS) \
  471. if (_COUNTER(AXIS) > 0) { \
  472. _COUNTER(AXIS) -= current_block->step_event_count; \
  473. count_position[_AXIS(AXIS)] += count_direction[_AXIS(AXIS)]; \
  474. _APPLY_STEP(AXIS)(_INVERT_STEP_PIN(AXIS),0); \
  475. }
  476. /**
  477. * Estimate the number of cycles that the stepper logic already takes
  478. * up between the start and stop of the X stepper pulse.
  479. *
  480. * Currently this uses very modest estimates of around 5 cycles.
  481. * True values may be derived by careful testing.
  482. *
  483. * Once any delay is added, the cost of the delay code itself
  484. * may be subtracted from this value to get a more accurate delay.
  485. * Delays under 20 cycles (1.25µs) will be very accurate, using NOPs.
  486. * Longer delays use a loop. The resolution is 8 cycles.
  487. */
  488. #if HAS_X_STEP
  489. #define _CYCLE_APPROX_1 5
  490. #else
  491. #define _CYCLE_APPROX_1 0
  492. #endif
  493. #if ENABLED(X_DUAL_STEPPER_DRIVERS)
  494. #define _CYCLE_APPROX_2 _CYCLE_APPROX_1 + 4
  495. #else
  496. #define _CYCLE_APPROX_2 _CYCLE_APPROX_1
  497. #endif
  498. #if HAS_Y_STEP
  499. #define _CYCLE_APPROX_3 _CYCLE_APPROX_2 + 5
  500. #else
  501. #define _CYCLE_APPROX_3 _CYCLE_APPROX_2
  502. #endif
  503. #if ENABLED(Y_DUAL_STEPPER_DRIVERS)
  504. #define _CYCLE_APPROX_4 _CYCLE_APPROX_3 + 4
  505. #else
  506. #define _CYCLE_APPROX_4 _CYCLE_APPROX_3
  507. #endif
  508. #if HAS_Z_STEP
  509. #define _CYCLE_APPROX_5 _CYCLE_APPROX_4 + 5
  510. #else
  511. #define _CYCLE_APPROX_5 _CYCLE_APPROX_4
  512. #endif
  513. #if ENABLED(Z_DUAL_STEPPER_DRIVERS)
  514. #define _CYCLE_APPROX_6 _CYCLE_APPROX_5 + 4
  515. #else
  516. #define _CYCLE_APPROX_6 _CYCLE_APPROX_5
  517. #endif
  518. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  519. #if ENABLED(MIXING_EXTRUDER)
  520. #define _CYCLE_APPROX_7 _CYCLE_APPROX_6 + (MIXING_STEPPERS) * 6
  521. #else
  522. #define _CYCLE_APPROX_7 _CYCLE_APPROX_6 + 5
  523. #endif
  524. #else
  525. #define _CYCLE_APPROX_7 _CYCLE_APPROX_6
  526. #endif
  527. #define CYCLES_EATEN_XYZE _CYCLE_APPROX_7
  528. #define EXTRA_CYCLES_XYZE (STEP_PULSE_CYCLES - (CYCLES_EATEN_XYZE))
  529. /**
  530. * If a minimum pulse time was specified get the timer 0 value.
  531. *
  532. * TCNT0 has an 8x prescaler, so it increments every 8 cycles.
  533. * That's every 0.5µs on 16MHz and every 0.4µs on 20MHz.
  534. * 20 counts of TCNT0 -by itself- is a good pulse delay.
  535. * 10µs = 160 or 200 cycles.
  536. */
  537. #if EXTRA_CYCLES_XYZE > 20
  538. uint32_t pulse_start = TCNT0;
  539. #endif
  540. #if HAS_X_STEP
  541. PULSE_START(X);
  542. #endif
  543. #if HAS_Y_STEP
  544. PULSE_START(Y);
  545. #endif
  546. #if HAS_Z_STEP
  547. PULSE_START(Z);
  548. #endif
  549. // For non-advance use linear interpolation for E also
  550. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  551. #if ENABLED(MIXING_EXTRUDER)
  552. // Keep updating the single E axis
  553. counter_E += current_block->steps[E_AXIS];
  554. // Tick the counters used for this mix
  555. MIXING_STEPPERS_LOOP(j) {
  556. // Step mixing steppers (proportionally)
  557. counter_m[j] += current_block->steps[E_AXIS];
  558. // Step when the counter goes over zero
  559. if (counter_m[j] > 0) En_STEP_WRITE(j, !INVERT_E_STEP_PIN);
  560. }
  561. #else // !MIXING_EXTRUDER
  562. PULSE_START(E);
  563. #endif
  564. #endif // !ADVANCE && !LIN_ADVANCE
  565. // For minimum pulse time wait before stopping pulses
  566. #if EXTRA_CYCLES_XYZE > 20
  567. while (EXTRA_CYCLES_XYZE > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  568. pulse_start = TCNT0;
  569. #elif EXTRA_CYCLES_XYZE > 0
  570. DELAY_NOPS(EXTRA_CYCLES_XYZE);
  571. #endif
  572. #if HAS_X_STEP
  573. PULSE_STOP(X);
  574. #endif
  575. #if HAS_Y_STEP
  576. PULSE_STOP(Y);
  577. #endif
  578. #if HAS_Z_STEP
  579. PULSE_STOP(Z);
  580. #endif
  581. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  582. #if ENABLED(MIXING_EXTRUDER)
  583. // Always step the single E axis
  584. if (counter_E > 0) {
  585. counter_E -= current_block->step_event_count;
  586. count_position[E_AXIS] += count_direction[E_AXIS];
  587. }
  588. MIXING_STEPPERS_LOOP(j) {
  589. if (counter_m[j] > 0) {
  590. counter_m[j] -= current_block->mix_event_count[j];
  591. En_STEP_WRITE(j, INVERT_E_STEP_PIN);
  592. }
  593. }
  594. #else // !MIXING_EXTRUDER
  595. PULSE_STOP(E);
  596. #endif
  597. #endif // !ADVANCE && !LIN_ADVANCE
  598. if (++step_events_completed >= current_block->step_event_count) {
  599. all_steps_done = true;
  600. break;
  601. }
  602. // For minimum pulse time wait after stopping pulses also
  603. #if EXTRA_CYCLES_XYZE > 20
  604. if (i) while (EXTRA_CYCLES_XYZE > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  605. #elif EXTRA_CYCLES_XYZE > 0
  606. if (i) DELAY_NOPS(EXTRA_CYCLES_XYZE);
  607. #endif
  608. } // steps_loop
  609. #if ENABLED(LIN_ADVANCE)
  610. if (current_block->use_advance_lead) {
  611. const int delta_adv_steps = current_estep_rate[TOOL_E_INDEX] - current_adv_steps[TOOL_E_INDEX];
  612. current_adv_steps[TOOL_E_INDEX] += delta_adv_steps;
  613. #if ENABLED(MIXING_EXTRUDER)
  614. // Mixing extruders apply advance lead proportionally
  615. MIXING_STEPPERS_LOOP(j)
  616. e_steps[j] += delta_adv_steps * current_block->step_event_count / current_block->mix_event_count[j];
  617. #else
  618. // For most extruders, advance the single E stepper
  619. e_steps[TOOL_E_INDEX] += delta_adv_steps;
  620. #endif
  621. }
  622. #endif
  623. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  624. // If we have esteps to execute, fire the next advance_isr "now"
  625. if (e_steps[TOOL_E_INDEX]) nextAdvanceISR = 0;
  626. #endif
  627. // Calculate new timer value
  628. if (step_events_completed <= (uint32_t)current_block->accelerate_until) {
  629. MultiU24X32toH16(acc_step_rate, acceleration_time, current_block->acceleration_rate);
  630. acc_step_rate += current_block->initial_rate;
  631. // upper limit
  632. NOMORE(acc_step_rate, current_block->nominal_rate);
  633. // step_rate to timer interval
  634. const uint16_t timer = calc_timer(acc_step_rate);
  635. SPLIT(timer); // split step into multiple ISRs if larger than ENDSTOP_NOMINAL_OCR_VAL
  636. _NEXT_ISR(ocr_val);
  637. acceleration_time += timer;
  638. #if ENABLED(LIN_ADVANCE)
  639. if (current_block->use_advance_lead) {
  640. #if ENABLED(MIXING_EXTRUDER)
  641. MIXING_STEPPERS_LOOP(j)
  642. current_estep_rate[j] = ((uint32_t)acc_step_rate * current_block->abs_adv_steps_multiplier8 * current_block->step_event_count / current_block->mix_event_count[j]) >> 17;
  643. #else
  644. current_estep_rate[TOOL_E_INDEX] = ((uint32_t)acc_step_rate * current_block->abs_adv_steps_multiplier8) >> 17;
  645. #endif
  646. }
  647. #elif ENABLED(ADVANCE)
  648. advance += advance_rate * step_loops;
  649. //NOLESS(advance, current_block->advance);
  650. const long advance_whole = advance >> 8,
  651. advance_factor = advance_whole - old_advance;
  652. // Do E steps + advance steps
  653. #if ENABLED(MIXING_EXTRUDER)
  654. // ...for mixing steppers proportionally
  655. MIXING_STEPPERS_LOOP(j)
  656. e_steps[j] += advance_factor * current_block->step_event_count / current_block->mix_event_count[j];
  657. #else
  658. // ...for the active extruder
  659. e_steps[TOOL_E_INDEX] += advance_factor;
  660. #endif
  661. old_advance = advance_whole;
  662. #endif // ADVANCE or LIN_ADVANCE
  663. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  664. eISR_Rate = adv_rate(e_steps[TOOL_E_INDEX], timer, step_loops);
  665. #endif
  666. }
  667. else if (step_events_completed > (uint32_t)current_block->decelerate_after) {
  668. uint16_t step_rate;
  669. MultiU24X32toH16(step_rate, deceleration_time, current_block->acceleration_rate);
  670. if (step_rate < acc_step_rate) { // Still decelerating?
  671. step_rate = acc_step_rate - step_rate;
  672. NOLESS(step_rate, current_block->final_rate);
  673. }
  674. else
  675. step_rate = current_block->final_rate;
  676. // step_rate to timer interval
  677. const uint16_t timer = calc_timer(step_rate);
  678. SPLIT(timer); // split step into multiple ISRs if larger than ENDSTOP_NOMINAL_OCR_VAL
  679. _NEXT_ISR(ocr_val);
  680. deceleration_time += timer;
  681. #if ENABLED(LIN_ADVANCE)
  682. if (current_block->use_advance_lead) {
  683. #if ENABLED(MIXING_EXTRUDER)
  684. MIXING_STEPPERS_LOOP(j)
  685. current_estep_rate[j] = ((uint32_t)step_rate * current_block->abs_adv_steps_multiplier8 * current_block->step_event_count / current_block->mix_event_count[j]) >> 17;
  686. #else
  687. current_estep_rate[TOOL_E_INDEX] = ((uint32_t)step_rate * current_block->abs_adv_steps_multiplier8) >> 17;
  688. #endif
  689. }
  690. #elif ENABLED(ADVANCE)
  691. advance -= advance_rate * step_loops;
  692. NOLESS(advance, final_advance);
  693. // Do E steps + advance steps
  694. const long advance_whole = advance >> 8,
  695. advance_factor = advance_whole - old_advance;
  696. #if ENABLED(MIXING_EXTRUDER)
  697. MIXING_STEPPERS_LOOP(j)
  698. e_steps[j] += advance_factor * current_block->step_event_count / current_block->mix_event_count[j];
  699. #else
  700. e_steps[TOOL_E_INDEX] += advance_factor;
  701. #endif
  702. old_advance = advance_whole;
  703. #endif // ADVANCE or LIN_ADVANCE
  704. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  705. eISR_Rate = adv_rate(e_steps[TOOL_E_INDEX], timer, step_loops);
  706. #endif
  707. }
  708. else {
  709. #if ENABLED(LIN_ADVANCE)
  710. if (current_block->use_advance_lead)
  711. current_estep_rate[TOOL_E_INDEX] = final_estep_rate;
  712. eISR_Rate = adv_rate(e_steps[TOOL_E_INDEX], OCR1A_nominal, step_loops_nominal);
  713. #endif
  714. SPLIT(OCR1A_nominal); // split step into multiple ISRs if larger than ENDSTOP_NOMINAL_OCR_VAL
  715. _NEXT_ISR(ocr_val);
  716. // ensure we're running at the correct step rate, even if we just came off an acceleration
  717. step_loops = step_loops_nominal;
  718. }
  719. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  720. NOLESS(OCR1A, TCNT1 + 16);
  721. #endif
  722. // If current block is finished, reset pointer
  723. if (all_steps_done) {
  724. current_block = NULL;
  725. planner.discard_current_block();
  726. }
  727. #if DISABLED(ADVANCE) && DISABLED(LIN_ADVANCE)
  728. _ENABLE_ISRs(); // re-enable ISRs
  729. #endif
  730. }
  731. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  732. #define CYCLES_EATEN_E (E_STEPPERS * 5)
  733. #define EXTRA_CYCLES_E (STEP_PULSE_CYCLES - (CYCLES_EATEN_E))
  734. // Timer interrupt for E. e_steps is set in the main routine;
  735. void Stepper::advance_isr() {
  736. nextAdvanceISR = eISR_Rate;
  737. #if ENABLED(MK2_MULTIPLEXER)
  738. // Even-numbered steppers are reversed
  739. #define SET_E_STEP_DIR(INDEX) \
  740. if (e_steps[INDEX]) E## INDEX ##_DIR_WRITE(e_steps[INDEX] < 0 ? !INVERT_E## INDEX ##_DIR ^ TEST(INDEX, 0) : INVERT_E## INDEX ##_DIR ^ TEST(INDEX, 0))
  741. #else
  742. #define SET_E_STEP_DIR(INDEX) \
  743. if (e_steps[INDEX]) E## INDEX ##_DIR_WRITE(e_steps[INDEX] < 0 ? INVERT_E## INDEX ##_DIR : !INVERT_E## INDEX ##_DIR)
  744. #endif
  745. #define START_E_PULSE(INDEX) \
  746. if (e_steps[INDEX]) E## INDEX ##_STEP_WRITE(!INVERT_E_STEP_PIN)
  747. #define STOP_E_PULSE(INDEX) \
  748. if (e_steps[INDEX]) { \
  749. e_steps[INDEX] < 0 ? ++e_steps[INDEX] : --e_steps[INDEX]; \
  750. E## INDEX ##_STEP_WRITE(INVERT_E_STEP_PIN); \
  751. }
  752. SET_E_STEP_DIR(0);
  753. #if E_STEPPERS > 1
  754. SET_E_STEP_DIR(1);
  755. #if E_STEPPERS > 2
  756. SET_E_STEP_DIR(2);
  757. #if E_STEPPERS > 3
  758. SET_E_STEP_DIR(3);
  759. #if E_STEPPERS > 4
  760. SET_E_STEP_DIR(4);
  761. #endif
  762. #endif
  763. #endif
  764. #endif
  765. // Step all E steppers that have steps
  766. for (uint8_t i = step_loops; i--;) {
  767. #if EXTRA_CYCLES_E > 20
  768. uint32_t pulse_start = TCNT0;
  769. #endif
  770. START_E_PULSE(0);
  771. #if E_STEPPERS > 1
  772. START_E_PULSE(1);
  773. #if E_STEPPERS > 2
  774. START_E_PULSE(2);
  775. #if E_STEPPERS > 3
  776. START_E_PULSE(3);
  777. #if E_STEPPERS > 4
  778. START_E_PULSE(4);
  779. #endif
  780. #endif
  781. #endif
  782. #endif
  783. // For minimum pulse time wait before stopping pulses
  784. #if EXTRA_CYCLES_E > 20
  785. while (EXTRA_CYCLES_E > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  786. pulse_start = TCNT0;
  787. #elif EXTRA_CYCLES_E > 0
  788. DELAY_NOPS(EXTRA_CYCLES_E);
  789. #endif
  790. STOP_E_PULSE(0);
  791. #if E_STEPPERS > 1
  792. STOP_E_PULSE(1);
  793. #if E_STEPPERS > 2
  794. STOP_E_PULSE(2);
  795. #if E_STEPPERS > 3
  796. STOP_E_PULSE(3);
  797. #if E_STEPPERS > 4
  798. STOP_E_PULSE(4);
  799. #endif
  800. #endif
  801. #endif
  802. #endif
  803. // For minimum pulse time wait before looping
  804. #if EXTRA_CYCLES_E > 20
  805. if (i) while (EXTRA_CYCLES_E > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  806. #elif EXTRA_CYCLES_E > 0
  807. if (i) DELAY_NOPS(EXTRA_CYCLES_E);
  808. #endif
  809. } // steps_loop
  810. }
  811. void Stepper::advance_isr_scheduler() {
  812. // Disable Timer0 ISRs and enable global ISR again to capture UART events (incoming chars)
  813. CBI(TIMSK0, OCIE0B); // Temperature ISR
  814. DISABLE_STEPPER_DRIVER_INTERRUPT();
  815. sei();
  816. // Run main stepping ISR if flagged
  817. if (!nextMainISR) isr();
  818. // Run Advance stepping ISR if flagged
  819. if (!nextAdvanceISR) advance_isr();
  820. // Is the next advance ISR scheduled before the next main ISR?
  821. if (nextAdvanceISR <= nextMainISR) {
  822. // Set up the next interrupt
  823. OCR1A = nextAdvanceISR;
  824. // New interval for the next main ISR
  825. if (nextMainISR) nextMainISR -= nextAdvanceISR;
  826. // Will call Stepper::advance_isr on the next interrupt
  827. nextAdvanceISR = 0;
  828. }
  829. else {
  830. // The next main ISR comes first
  831. OCR1A = nextMainISR;
  832. // New interval for the next advance ISR, if any
  833. if (nextAdvanceISR && nextAdvanceISR != ADV_NEVER)
  834. nextAdvanceISR -= nextMainISR;
  835. // Will call Stepper::isr on the next interrupt
  836. nextMainISR = 0;
  837. }
  838. // Don't run the ISR faster than possible
  839. NOLESS(OCR1A, TCNT1 + 16);
  840. // Restore original ISR settings
  841. _ENABLE_ISRs();
  842. }
  843. #endif // ADVANCE or LIN_ADVANCE
  844. void Stepper::init() {
  845. // Init Digipot Motor Current
  846. #if HAS_DIGIPOTSS || HAS_MOTOR_CURRENT_PWM
  847. digipot_init();
  848. #endif
  849. // Init Microstepping Pins
  850. #if HAS_MICROSTEPS
  851. microstep_init();
  852. #endif
  853. // Init TMC Steppers
  854. #if ENABLED(HAVE_TMCDRIVER)
  855. tmc_init();
  856. #endif
  857. // Init TMC2130 Steppers
  858. #if ENABLED(HAVE_TMC2130)
  859. tmc2130_init();
  860. #endif
  861. // Init L6470 Steppers
  862. #if ENABLED(HAVE_L6470DRIVER)
  863. L6470_init();
  864. #endif
  865. // Init Dir Pins
  866. #if HAS_X_DIR
  867. X_DIR_INIT;
  868. #endif
  869. #if HAS_X2_DIR
  870. X2_DIR_INIT;
  871. #endif
  872. #if HAS_Y_DIR
  873. Y_DIR_INIT;
  874. #if ENABLED(Y_DUAL_STEPPER_DRIVERS) && HAS_Y2_DIR
  875. Y2_DIR_INIT;
  876. #endif
  877. #endif
  878. #if HAS_Z_DIR
  879. Z_DIR_INIT;
  880. #if ENABLED(Z_DUAL_STEPPER_DRIVERS) && HAS_Z2_DIR
  881. Z2_DIR_INIT;
  882. #endif
  883. #endif
  884. #if HAS_E0_DIR
  885. E0_DIR_INIT;
  886. #endif
  887. #if HAS_E1_DIR
  888. E1_DIR_INIT;
  889. #endif
  890. #if HAS_E2_DIR
  891. E2_DIR_INIT;
  892. #endif
  893. #if HAS_E3_DIR
  894. E3_DIR_INIT;
  895. #endif
  896. #if HAS_E4_DIR
  897. E4_DIR_INIT;
  898. #endif
  899. // Init Enable Pins - steppers default to disabled.
  900. #if HAS_X_ENABLE
  901. X_ENABLE_INIT;
  902. if (!X_ENABLE_ON) X_ENABLE_WRITE(HIGH);
  903. #if ENABLED(DUAL_X_CARRIAGE) && HAS_X2_ENABLE
  904. X2_ENABLE_INIT;
  905. if (!X_ENABLE_ON) X2_ENABLE_WRITE(HIGH);
  906. #endif
  907. #endif
  908. #if HAS_Y_ENABLE
  909. Y_ENABLE_INIT;
  910. if (!Y_ENABLE_ON) Y_ENABLE_WRITE(HIGH);
  911. #if ENABLED(Y_DUAL_STEPPER_DRIVERS) && HAS_Y2_ENABLE
  912. Y2_ENABLE_INIT;
  913. if (!Y_ENABLE_ON) Y2_ENABLE_WRITE(HIGH);
  914. #endif
  915. #endif
  916. #if HAS_Z_ENABLE
  917. Z_ENABLE_INIT;
  918. if (!Z_ENABLE_ON) Z_ENABLE_WRITE(HIGH);
  919. #if ENABLED(Z_DUAL_STEPPER_DRIVERS) && HAS_Z2_ENABLE
  920. Z2_ENABLE_INIT;
  921. if (!Z_ENABLE_ON) Z2_ENABLE_WRITE(HIGH);
  922. #endif
  923. #endif
  924. #if HAS_E0_ENABLE
  925. E0_ENABLE_INIT;
  926. if (!E_ENABLE_ON) E0_ENABLE_WRITE(HIGH);
  927. #endif
  928. #if HAS_E1_ENABLE
  929. E1_ENABLE_INIT;
  930. if (!E_ENABLE_ON) E1_ENABLE_WRITE(HIGH);
  931. #endif
  932. #if HAS_E2_ENABLE
  933. E2_ENABLE_INIT;
  934. if (!E_ENABLE_ON) E2_ENABLE_WRITE(HIGH);
  935. #endif
  936. #if HAS_E3_ENABLE
  937. E3_ENABLE_INIT;
  938. if (!E_ENABLE_ON) E3_ENABLE_WRITE(HIGH);
  939. #endif
  940. #if HAS_E4_ENABLE
  941. E4_ENABLE_INIT;
  942. if (!E_ENABLE_ON) E4_ENABLE_WRITE(HIGH);
  943. #endif
  944. // Init endstops and pullups
  945. endstops.init();
  946. #define _STEP_INIT(AXIS) AXIS ##_STEP_INIT
  947. #define _WRITE_STEP(AXIS, HIGHLOW) AXIS ##_STEP_WRITE(HIGHLOW)
  948. #define _DISABLE(AXIS) disable_## AXIS()
  949. #define AXIS_INIT(AXIS, PIN) \
  950. _STEP_INIT(AXIS); \
  951. _WRITE_STEP(AXIS, _INVERT_STEP_PIN(PIN)); \
  952. _DISABLE(AXIS)
  953. #define E_AXIS_INIT(NUM) AXIS_INIT(E## NUM, E)
  954. // Init Step Pins
  955. #if HAS_X_STEP
  956. #if ENABLED(X_DUAL_STEPPER_DRIVERS) || ENABLED(DUAL_X_CARRIAGE)
  957. X2_STEP_INIT;
  958. X2_STEP_WRITE(INVERT_X_STEP_PIN);
  959. #endif
  960. AXIS_INIT(X, X);
  961. #endif
  962. #if HAS_Y_STEP
  963. #if ENABLED(Y_DUAL_STEPPER_DRIVERS)
  964. Y2_STEP_INIT;
  965. Y2_STEP_WRITE(INVERT_Y_STEP_PIN);
  966. #endif
  967. AXIS_INIT(Y, Y);
  968. #endif
  969. #if HAS_Z_STEP
  970. #if ENABLED(Z_DUAL_STEPPER_DRIVERS)
  971. Z2_STEP_INIT;
  972. Z2_STEP_WRITE(INVERT_Z_STEP_PIN);
  973. #endif
  974. AXIS_INIT(Z, Z);
  975. #endif
  976. #if HAS_E0_STEP
  977. E_AXIS_INIT(0);
  978. #endif
  979. #if HAS_E1_STEP
  980. E_AXIS_INIT(1);
  981. #endif
  982. #if HAS_E2_STEP
  983. E_AXIS_INIT(2);
  984. #endif
  985. #if HAS_E3_STEP
  986. E_AXIS_INIT(3);
  987. #endif
  988. #if HAS_E4_STEP
  989. E_AXIS_INIT(4);
  990. #endif
  991. // waveform generation = 0100 = CTC
  992. SET_WGM(1, CTC_OCRnA);
  993. // output mode = 00 (disconnected)
  994. SET_COMA(1, NORMAL);
  995. // Set the timer pre-scaler
  996. // Generally we use a divider of 8, resulting in a 2MHz timer
  997. // frequency on a 16MHz MCU. If you are going to change this, be
  998. // sure to regenerate speed_lookuptable.h with
  999. // create_speed_lookuptable.py
  1000. SET_CS(1, PRESCALER_8); // CS 2 = 1/8 prescaler
  1001. // Init Stepper ISR to 122 Hz for quick starting
  1002. OCR1A = 0x4000;
  1003. TCNT1 = 0;
  1004. ENABLE_STEPPER_DRIVER_INTERRUPT();
  1005. #if ENABLED(ADVANCE) || ENABLED(LIN_ADVANCE)
  1006. for (uint8_t i = 0; i < COUNT(e_steps); i++) e_steps[i] = 0;
  1007. #if ENABLED(LIN_ADVANCE)
  1008. ZERO(current_adv_steps);
  1009. #endif
  1010. #endif // ADVANCE || LIN_ADVANCE
  1011. endstops.enable(true); // Start with endstops active. After homing they can be disabled
  1012. sei();
  1013. set_directions(); // Init directions to last_direction_bits = 0
  1014. }
  1015. /**
  1016. * Block until all buffered steps are executed
  1017. */
  1018. void Stepper::synchronize() { while (planner.blocks_queued()) idle(); }
  1019. /**
  1020. * Set the stepper positions directly in steps
  1021. *
  1022. * The input is based on the typical per-axis XYZ steps.
  1023. * For CORE machines XYZ needs to be translated to ABC.
  1024. *
  1025. * This allows get_axis_position_mm to correctly
  1026. * derive the current XYZ position later on.
  1027. */
  1028. void Stepper::set_position(const long &a, const long &b, const long &c, const long &e) {
  1029. synchronize(); // Bad to set stepper counts in the middle of a move
  1030. CRITICAL_SECTION_START;
  1031. #if CORE_IS_XY
  1032. // corexy positioning
  1033. // these equations follow the form of the dA and dB equations on http://www.corexy.com/theory.html
  1034. count_position[A_AXIS] = a + b;
  1035. count_position[B_AXIS] = CORESIGN(a - b);
  1036. count_position[Z_AXIS] = c;
  1037. #elif CORE_IS_XZ
  1038. // corexz planning
  1039. count_position[A_AXIS] = a + c;
  1040. count_position[Y_AXIS] = b;
  1041. count_position[C_AXIS] = CORESIGN(a - c);
  1042. #elif CORE_IS_YZ
  1043. // coreyz planning
  1044. count_position[X_AXIS] = a;
  1045. count_position[B_AXIS] = b + c;
  1046. count_position[C_AXIS] = CORESIGN(b - c);
  1047. #else
  1048. // default non-h-bot planning
  1049. count_position[X_AXIS] = a;
  1050. count_position[Y_AXIS] = b;
  1051. count_position[Z_AXIS] = c;
  1052. #endif
  1053. count_position[E_AXIS] = e;
  1054. CRITICAL_SECTION_END;
  1055. }
  1056. void Stepper::set_position(const AxisEnum &axis, const long &v) {
  1057. CRITICAL_SECTION_START;
  1058. count_position[axis] = v;
  1059. CRITICAL_SECTION_END;
  1060. }
  1061. void Stepper::set_e_position(const long &e) {
  1062. CRITICAL_SECTION_START;
  1063. count_position[E_AXIS] = e;
  1064. CRITICAL_SECTION_END;
  1065. }
  1066. /**
  1067. * Get a stepper's position in steps.
  1068. */
  1069. long Stepper::position(AxisEnum axis) {
  1070. CRITICAL_SECTION_START;
  1071. const long count_pos = count_position[axis];
  1072. CRITICAL_SECTION_END;
  1073. return count_pos;
  1074. }
  1075. /**
  1076. * Get an axis position according to stepper position(s)
  1077. * For CORE machines apply translation from ABC to XYZ.
  1078. */
  1079. float Stepper::get_axis_position_mm(AxisEnum axis) {
  1080. float axis_steps;
  1081. #if IS_CORE
  1082. // Requesting one of the "core" axes?
  1083. if (axis == CORE_AXIS_1 || axis == CORE_AXIS_2) {
  1084. CRITICAL_SECTION_START;
  1085. // ((a1+a2)+(a1-a2))/2 -> (a1+a2+a1-a2)/2 -> (a1+a1)/2 -> a1
  1086. // ((a1+a2)-(a1-a2))/2 -> (a1+a2-a1+a2)/2 -> (a2+a2)/2 -> a2
  1087. axis_steps = 0.5f * (
  1088. axis == CORE_AXIS_2 ? CORESIGN(count_position[CORE_AXIS_1] - count_position[CORE_AXIS_2])
  1089. : count_position[CORE_AXIS_1] + count_position[CORE_AXIS_2]
  1090. );
  1091. CRITICAL_SECTION_END;
  1092. }
  1093. else
  1094. axis_steps = position(axis);
  1095. #else
  1096. axis_steps = position(axis);
  1097. #endif
  1098. return axis_steps * planner.steps_to_mm[axis];
  1099. }
  1100. void Stepper::finish_and_disable() {
  1101. synchronize();
  1102. disable_all_steppers();
  1103. }
  1104. void Stepper::quick_stop() {
  1105. cleaning_buffer_counter = 5000;
  1106. DISABLE_STEPPER_DRIVER_INTERRUPT();
  1107. while (planner.blocks_queued()) planner.discard_current_block();
  1108. current_block = NULL;
  1109. ENABLE_STEPPER_DRIVER_INTERRUPT();
  1110. #if ENABLED(ULTRA_LCD)
  1111. planner.clear_block_buffer_runtime();
  1112. #endif
  1113. }
  1114. void Stepper::endstop_triggered(AxisEnum axis) {
  1115. #if IS_CORE
  1116. endstops_trigsteps[axis] = 0.5f * (
  1117. axis == CORE_AXIS_2 ? CORESIGN(count_position[CORE_AXIS_1] - count_position[CORE_AXIS_2])
  1118. : count_position[CORE_AXIS_1] + count_position[CORE_AXIS_2]
  1119. );
  1120. #else // !COREXY && !COREXZ && !COREYZ
  1121. endstops_trigsteps[axis] = count_position[axis];
  1122. #endif // !COREXY && !COREXZ && !COREYZ
  1123. kill_current_block();
  1124. }
  1125. void Stepper::report_positions() {
  1126. CRITICAL_SECTION_START;
  1127. const long xpos = count_position[X_AXIS],
  1128. ypos = count_position[Y_AXIS],
  1129. zpos = count_position[Z_AXIS];
  1130. CRITICAL_SECTION_END;
  1131. #if CORE_IS_XY || CORE_IS_XZ || IS_SCARA
  1132. SERIAL_PROTOCOLPGM(MSG_COUNT_A);
  1133. #else
  1134. SERIAL_PROTOCOLPGM(MSG_COUNT_X);
  1135. #endif
  1136. SERIAL_PROTOCOL(xpos);
  1137. #if CORE_IS_XY || CORE_IS_YZ || IS_SCARA
  1138. SERIAL_PROTOCOLPGM(" B:");
  1139. #else
  1140. SERIAL_PROTOCOLPGM(" Y:");
  1141. #endif
  1142. SERIAL_PROTOCOL(ypos);
  1143. #if CORE_IS_XZ || CORE_IS_YZ
  1144. SERIAL_PROTOCOLPGM(" C:");
  1145. #else
  1146. SERIAL_PROTOCOLPGM(" Z:");
  1147. #endif
  1148. SERIAL_PROTOCOL(zpos);
  1149. SERIAL_EOL();
  1150. }
  1151. #if ENABLED(BABYSTEPPING)
  1152. #if ENABLED(DELTA)
  1153. #define CYCLES_EATEN_BABYSTEP (2 * 15)
  1154. #else
  1155. #define CYCLES_EATEN_BABYSTEP 0
  1156. #endif
  1157. #define EXTRA_CYCLES_BABYSTEP (STEP_PULSE_CYCLES - (CYCLES_EATEN_BABYSTEP))
  1158. #define _ENABLE(AXIS) enable_## AXIS()
  1159. #define _READ_DIR(AXIS) AXIS ##_DIR_READ
  1160. #define _INVERT_DIR(AXIS) INVERT_## AXIS ##_DIR
  1161. #define _APPLY_DIR(AXIS, INVERT) AXIS ##_APPLY_DIR(INVERT, true)
  1162. #if EXTRA_CYCLES_BABYSTEP > 20
  1163. #define _SAVE_START const uint32_t pulse_start = TCNT0
  1164. #define _PULSE_WAIT while (EXTRA_CYCLES_BABYSTEP > (uint32_t)(TCNT0 - pulse_start) * (INT0_PRESCALER)) { /* nada */ }
  1165. #else
  1166. #define _SAVE_START NOOP
  1167. #if EXTRA_CYCLES_BABYSTEP > 0
  1168. #define _PULSE_WAIT DELAY_NOPS(EXTRA_CYCLES_BABYSTEP)
  1169. #elif STEP_PULSE_CYCLES > 0
  1170. #define _PULSE_WAIT NOOP
  1171. #elif ENABLED(DELTA)
  1172. #define _PULSE_WAIT delayMicroseconds(2);
  1173. #else
  1174. #define _PULSE_WAIT delayMicroseconds(4);
  1175. #endif
  1176. #endif
  1177. #define BABYSTEP_AXIS(AXIS, INVERT) { \
  1178. const uint8_t old_dir = _READ_DIR(AXIS); \
  1179. _ENABLE(AXIS); \
  1180. _SAVE_START; \
  1181. _APPLY_DIR(AXIS, _INVERT_DIR(AXIS)^direction^INVERT); \
  1182. _APPLY_STEP(AXIS)(!_INVERT_STEP_PIN(AXIS), true); \
  1183. _PULSE_WAIT; \
  1184. _APPLY_STEP(AXIS)(_INVERT_STEP_PIN(AXIS), true); \
  1185. _APPLY_DIR(AXIS, old_dir); \
  1186. }
  1187. // MUST ONLY BE CALLED BY AN ISR,
  1188. // No other ISR should ever interrupt this!
  1189. void Stepper::babystep(const AxisEnum axis, const bool direction) {
  1190. cli();
  1191. switch (axis) {
  1192. #if ENABLED(BABYSTEP_XY)
  1193. case X_AXIS:
  1194. BABYSTEP_AXIS(X, false);
  1195. break;
  1196. case Y_AXIS:
  1197. BABYSTEP_AXIS(Y, false);
  1198. break;
  1199. #endif
  1200. case Z_AXIS: {
  1201. #if DISABLED(DELTA)
  1202. BABYSTEP_AXIS(Z, BABYSTEP_INVERT_Z);
  1203. #else // DELTA
  1204. const bool z_direction = direction ^ BABYSTEP_INVERT_Z;
  1205. enable_X();
  1206. enable_Y();
  1207. enable_Z();
  1208. const uint8_t old_x_dir_pin = X_DIR_READ,
  1209. old_y_dir_pin = Y_DIR_READ,
  1210. old_z_dir_pin = Z_DIR_READ;
  1211. X_DIR_WRITE(INVERT_X_DIR ^ z_direction);
  1212. Y_DIR_WRITE(INVERT_Y_DIR ^ z_direction);
  1213. Z_DIR_WRITE(INVERT_Z_DIR ^ z_direction);
  1214. _SAVE_START;
  1215. X_STEP_WRITE(!INVERT_X_STEP_PIN);
  1216. Y_STEP_WRITE(!INVERT_Y_STEP_PIN);
  1217. Z_STEP_WRITE(!INVERT_Z_STEP_PIN);
  1218. _PULSE_WAIT;
  1219. X_STEP_WRITE(INVERT_X_STEP_PIN);
  1220. Y_STEP_WRITE(INVERT_Y_STEP_PIN);
  1221. Z_STEP_WRITE(INVERT_Z_STEP_PIN);
  1222. // Restore direction bits
  1223. X_DIR_WRITE(old_x_dir_pin);
  1224. Y_DIR_WRITE(old_y_dir_pin);
  1225. Z_DIR_WRITE(old_z_dir_pin);
  1226. #endif
  1227. } break;
  1228. default: break;
  1229. }
  1230. sei();
  1231. }
  1232. #endif // BABYSTEPPING
  1233. /**
  1234. * Software-controlled Stepper Motor Current
  1235. */
  1236. #if HAS_DIGIPOTSS
  1237. // From Arduino DigitalPotControl example
  1238. void Stepper::digitalPotWrite(const int16_t address, const int16_t value) {
  1239. WRITE(DIGIPOTSS_PIN, LOW); // Take the SS pin low to select the chip
  1240. SPI.transfer(address); // Send the address and value via SPI
  1241. SPI.transfer(value);
  1242. WRITE(DIGIPOTSS_PIN, HIGH); // Take the SS pin high to de-select the chip
  1243. //delay(10);
  1244. }
  1245. #endif // HAS_DIGIPOTSS
  1246. #if HAS_MOTOR_CURRENT_PWM
  1247. void Stepper::refresh_motor_power() {
  1248. for (uint8_t i = 0; i < COUNT(motor_current_setting); ++i) {
  1249. switch (i) {
  1250. #if PIN_EXISTS(MOTOR_CURRENT_PWM_XY)
  1251. case 0:
  1252. #endif
  1253. #if PIN_EXISTS(MOTOR_CURRENT_PWM_Z)
  1254. case 1:
  1255. #endif
  1256. #if PIN_EXISTS(MOTOR_CURRENT_PWM_E)
  1257. case 2:
  1258. #endif
  1259. digipot_current(i, motor_current_setting[i]);
  1260. default: break;
  1261. }
  1262. }
  1263. }
  1264. #endif // HAS_MOTOR_CURRENT_PWM
  1265. #if HAS_DIGIPOTSS || HAS_MOTOR_CURRENT_PWM
  1266. void Stepper::digipot_current(const uint8_t driver, const int current) {
  1267. #if HAS_DIGIPOTSS
  1268. const uint8_t digipot_ch[] = DIGIPOT_CHANNELS;
  1269. digitalPotWrite(digipot_ch[driver], current);
  1270. #elif HAS_MOTOR_CURRENT_PWM
  1271. if (WITHIN(driver, 0, 2))
  1272. motor_current_setting[driver] = current; // update motor_current_setting
  1273. #define _WRITE_CURRENT_PWM(P) analogWrite(MOTOR_CURRENT_PWM_## P ##_PIN, 255L * current / (MOTOR_CURRENT_PWM_RANGE))
  1274. switch (driver) {
  1275. #if PIN_EXISTS(MOTOR_CURRENT_PWM_XY)
  1276. case 0: _WRITE_CURRENT_PWM(XY); break;
  1277. #endif
  1278. #if PIN_EXISTS(MOTOR_CURRENT_PWM_Z)
  1279. case 1: _WRITE_CURRENT_PWM(Z); break;
  1280. #endif
  1281. #if PIN_EXISTS(MOTOR_CURRENT_PWM_E)
  1282. case 2: _WRITE_CURRENT_PWM(E); break;
  1283. #endif
  1284. }
  1285. #endif
  1286. }
  1287. void Stepper::digipot_init() {
  1288. #if HAS_DIGIPOTSS
  1289. static const uint8_t digipot_motor_current[] = DIGIPOT_MOTOR_CURRENT;
  1290. SPI.begin();
  1291. SET_OUTPUT(DIGIPOTSS_PIN);
  1292. for (uint8_t i = 0; i < COUNT(digipot_motor_current); i++) {
  1293. //digitalPotWrite(digipot_ch[i], digipot_motor_current[i]);
  1294. digipot_current(i, digipot_motor_current[i]);
  1295. }
  1296. #elif HAS_MOTOR_CURRENT_PWM
  1297. #if PIN_EXISTS(MOTOR_CURRENT_PWM_XY)
  1298. SET_OUTPUT(MOTOR_CURRENT_PWM_XY_PIN);
  1299. #endif
  1300. #if PIN_EXISTS(MOTOR_CURRENT_PWM_Z)
  1301. SET_OUTPUT(MOTOR_CURRENT_PWM_Z_PIN);
  1302. #endif
  1303. #if PIN_EXISTS(MOTOR_CURRENT_PWM_E)
  1304. SET_OUTPUT(MOTOR_CURRENT_PWM_E_PIN);
  1305. #endif
  1306. refresh_motor_power();
  1307. // Set Timer5 to 31khz so the PWM of the motor power is as constant as possible. (removes a buzzing noise)
  1308. SET_CS5(PRESCALER_1);
  1309. #endif
  1310. }
  1311. #endif
  1312. #if HAS_MICROSTEPS
  1313. /**
  1314. * Software-controlled Microstepping
  1315. */
  1316. void Stepper::microstep_init() {
  1317. SET_OUTPUT(X_MS1_PIN);
  1318. SET_OUTPUT(X_MS2_PIN);
  1319. #if HAS_Y_MICROSTEPS
  1320. SET_OUTPUT(Y_MS1_PIN);
  1321. SET_OUTPUT(Y_MS2_PIN);
  1322. #endif
  1323. #if HAS_Z_MICROSTEPS
  1324. SET_OUTPUT(Z_MS1_PIN);
  1325. SET_OUTPUT(Z_MS2_PIN);
  1326. #endif
  1327. #if HAS_E0_MICROSTEPS
  1328. SET_OUTPUT(E0_MS1_PIN);
  1329. SET_OUTPUT(E0_MS2_PIN);
  1330. #endif
  1331. #if HAS_E1_MICROSTEPS
  1332. SET_OUTPUT(E1_MS1_PIN);
  1333. SET_OUTPUT(E1_MS2_PIN);
  1334. #endif
  1335. #if HAS_E2_MICROSTEPS
  1336. SET_OUTPUT(E2_MS1_PIN);
  1337. SET_OUTPUT(E2_MS2_PIN);
  1338. #endif
  1339. #if HAS_E3_MICROSTEPS
  1340. SET_OUTPUT(E3_MS1_PIN);
  1341. SET_OUTPUT(E3_MS2_PIN);
  1342. #endif
  1343. #if HAS_E4_MICROSTEPS
  1344. SET_OUTPUT(E4_MS1_PIN);
  1345. SET_OUTPUT(E4_MS2_PIN);
  1346. #endif
  1347. static const uint8_t microstep_modes[] = MICROSTEP_MODES;
  1348. for (uint16_t i = 0; i < COUNT(microstep_modes); i++)
  1349. microstep_mode(i, microstep_modes[i]);
  1350. }
  1351. void Stepper::microstep_ms(const uint8_t driver, const int8_t ms1, const int8_t ms2) {
  1352. if (ms1 >= 0) switch (driver) {
  1353. case 0: WRITE(X_MS1_PIN, ms1); break;
  1354. #if HAS_Y_MICROSTEPS
  1355. case 1: WRITE(Y_MS1_PIN, ms1); break;
  1356. #endif
  1357. #if HAS_Z_MICROSTEPS
  1358. case 2: WRITE(Z_MS1_PIN, ms1); break;
  1359. #endif
  1360. #if HAS_E0_MICROSTEPS
  1361. case 3: WRITE(E0_MS1_PIN, ms1); break;
  1362. #endif
  1363. #if HAS_E1_MICROSTEPS
  1364. case 4: WRITE(E1_MS1_PIN, ms1); break;
  1365. #endif
  1366. #if HAS_E2_MICROSTEPS
  1367. case 5: WRITE(E2_MS1_PIN, ms1); break;
  1368. #endif
  1369. #if HAS_E3_MICROSTEPS
  1370. case 6: WRITE(E3_MS1_PIN, ms1); break;
  1371. #endif
  1372. #if HAS_E4_MICROSTEPS
  1373. case 7: WRITE(E4_MS1_PIN, ms1); break;
  1374. #endif
  1375. }
  1376. if (ms2 >= 0) switch (driver) {
  1377. case 0: WRITE(X_MS2_PIN, ms2); break;
  1378. #if HAS_Y_MICROSTEPS
  1379. case 1: WRITE(Y_MS2_PIN, ms2); break;
  1380. #endif
  1381. #if HAS_Z_MICROSTEPS
  1382. case 2: WRITE(Z_MS2_PIN, ms2); break;
  1383. #endif
  1384. #if HAS_E0_MICROSTEPS
  1385. case 3: WRITE(E0_MS2_PIN, ms2); break;
  1386. #endif
  1387. #if HAS_E1_MICROSTEPS
  1388. case 4: WRITE(E1_MS2_PIN, ms2); break;
  1389. #endif
  1390. #if HAS_E2_MICROSTEPS
  1391. case 5: WRITE(E2_MS2_PIN, ms2); break;
  1392. #endif
  1393. #if HAS_E3_MICROSTEPS
  1394. case 6: WRITE(E3_MS2_PIN, ms2); break;
  1395. #endif
  1396. #if HAS_E4_MICROSTEPS
  1397. case 7: WRITE(E4_MS2_PIN, ms2); break;
  1398. #endif
  1399. }
  1400. }
  1401. void Stepper::microstep_mode(const uint8_t driver, const uint8_t stepping_mode) {
  1402. switch (stepping_mode) {
  1403. case 1: microstep_ms(driver, MICROSTEP1); break;
  1404. case 2: microstep_ms(driver, MICROSTEP2); break;
  1405. case 4: microstep_ms(driver, MICROSTEP4); break;
  1406. case 8: microstep_ms(driver, MICROSTEP8); break;
  1407. case 16: microstep_ms(driver, MICROSTEP16); break;
  1408. }
  1409. }
  1410. void Stepper::microstep_readings() {
  1411. SERIAL_PROTOCOLLNPGM("MS1,MS2 Pins");
  1412. SERIAL_PROTOCOLPGM("X: ");
  1413. SERIAL_PROTOCOL(READ(X_MS1_PIN));
  1414. SERIAL_PROTOCOLLN(READ(X_MS2_PIN));
  1415. #if HAS_Y_MICROSTEPS
  1416. SERIAL_PROTOCOLPGM("Y: ");
  1417. SERIAL_PROTOCOL(READ(Y_MS1_PIN));
  1418. SERIAL_PROTOCOLLN(READ(Y_MS2_PIN));
  1419. #endif
  1420. #if HAS_Z_MICROSTEPS
  1421. SERIAL_PROTOCOLPGM("Z: ");
  1422. SERIAL_PROTOCOL(READ(Z_MS1_PIN));
  1423. SERIAL_PROTOCOLLN(READ(Z_MS2_PIN));
  1424. #endif
  1425. #if HAS_E0_MICROSTEPS
  1426. SERIAL_PROTOCOLPGM("E0: ");
  1427. SERIAL_PROTOCOL(READ(E0_MS1_PIN));
  1428. SERIAL_PROTOCOLLN(READ(E0_MS2_PIN));
  1429. #endif
  1430. #if HAS_E1_MICROSTEPS
  1431. SERIAL_PROTOCOLPGM("E1: ");
  1432. SERIAL_PROTOCOL(READ(E1_MS1_PIN));
  1433. SERIAL_PROTOCOLLN(READ(E1_MS2_PIN));
  1434. #endif
  1435. #if HAS_E2_MICROSTEPS
  1436. SERIAL_PROTOCOLPGM("E2: ");
  1437. SERIAL_PROTOCOL(READ(E2_MS1_PIN));
  1438. SERIAL_PROTOCOLLN(READ(E2_MS2_PIN));
  1439. #endif
  1440. #if HAS_E3_MICROSTEPS
  1441. SERIAL_PROTOCOLPGM("E3: ");
  1442. SERIAL_PROTOCOL(READ(E3_MS1_PIN));
  1443. SERIAL_PROTOCOLLN(READ(E3_MS2_PIN));
  1444. #endif
  1445. #if HAS_E4_MICROSTEPS
  1446. SERIAL_PROTOCOLPGM("E4: ");
  1447. SERIAL_PROTOCOL(READ(E4_MS1_PIN));
  1448. SERIAL_PROTOCOLLN(READ(E4_MS2_PIN));
  1449. #endif
  1450. }
  1451. #endif // HAS_MICROSTEPS